智慧應用 影音
崛起中的中國第三類半導體產業
不久前我請教台灣一位長期投入碳化矽(SiC)元件開發的教授,我問他,你使用過不同廠商的基板,哪一家的表現最好?因為碳化矽基板佔其製作好晶圓成本的一半以上,而且又是技術難度最高的部分。他莞爾地對我說,要說實話嗎?他的結論是中國的表現最好,而且價格最具有競爭力,台灣生產的及美國的次之,美國廠商因為是IDM,最好的基板大都留給自家用。幾個月前有2則新聞吸引我的注意,一則是德國英飛凌(Infineon)與中國的山東天岳、北京的天科合達,簽訂碳化矽基板長期採購合約,現階段供應6吋晶圓,而未來將是8吋。2家公司是目前中國碳化矽基板的主要供應商。另一則新聞是歐洲的意法半導體(STM)與廈門的三安光電,計劃在重慶建1座8吋碳化矽晶圓廠,劍指中國蓬勃發展中的電動車產業。三安也規劃自建1座8吋碳化矽基板的生產基地。英飛凌與意法,佔碳化矽元件及模組全球市場50%以上比例,而意法更是率先在2018年供應Tesla Model 3碳化矽元件,此舉正式引爆碳化矽風潮。目前全球碳化矽基板的需求量每年約50萬片,以6吋為主流,七成以上由美國的2家廠商所供應。中國市佔率大概10%,但是隨著產能逐漸開出,以及中國在電動車的強勁需求,預估中國碳化矽基板的全球市佔率,很快會超越5成。現在碳化矽產業目光的焦點在於8吋晶圓開發,傳統6吋以下的成長單晶柱(ingot)的方法,是使用蒸氣的昇華法,將6吋的seed wafer置於上端,利用高溫爐內材料的蒸氣附著於上端晶圓的表面,而得以成長晶柱。此方法最大缺點,乃晶柱成長速度慢且晶柱長不厚,若運用此法在成長8吋的基板,將更形捉襟見肘。上述中國的2家供應商已開始使用新的液態成長法,來成長碳化矽8吋晶柱。此法較接近一般矽晶圓的晶柱成長,在上端可以使用較小尺寸的seed wafer來成長8吋的晶柱,由於不需要到氣態,成長的溫度也可以較低,同時速率較快,晶柱也可以厚些。但是液態成長法需處理液態材料與固態晶柱的介面,在溫度梯度的控制要非常精準,這恐怕不是一般商用爐子能做到的。因此推論中國供應商已經具有自建精確溫度控制爐子的能力,事實上一家產能夠規模的碳化矽基板廠商,是需要上千台的高溫長晶爐,因此自建高溫爐是必要的選項,這方面中國的供應商是做到了。我們再來談另一個第三類半導體氮化鎵(GaN)。不久前的一則新聞,美國一家氮化鎵元件主要供應商EPC,向美國聯邦法院及國際貿易委員會(ITC),控告中國的英諾賽科侵害其在氮化鎵元件的專利。事實上英諾賽科從2023年第1季開始,其在氮化鎵元件的營收已經躍居全球首位,其在珠海及蘇州各有1座8吋氮化鎵專屬的晶圓廠,以及超過20部有機金屬化學氣相沉澱設備(MOCVD)成長氮化鎵的磊晶片。目前月產能為1.5萬片,佔了全球總產能一半以上,預計在2025年英諾賽科產能要擴充到每月7萬片,以此推估需要70部MOCVD機台。英諾賽科有別於其他主要氮化鎵供應商,其商業模式是IDM,在成本上相對是有優勢。相同的元件規格,比其他供應商的價格低30~50%。氮化鎵元件在2年前,因為65W的手機快充電源插頭熱門一時,如今市場比較低迷。但是近來在人工智慧(AI)伺服器所需的直流電源轉換,對於中低壓氮化鎵的需求正在崛起,這部分需要操作在較高的切換頻率,及更大的輸出電流,正符合到氮化鎵的物理特性。如果氮化鎵的價格有機會降到略高於矽基功率元件,毫無疑問氮化鎵的需求是會起飛的。在第三類半導體研發上中國也是不遺餘力地投入。以大學為例,幾所著名的大學,如北京清華、浙江大學、西安交大、成都電子科大,甚至南京航天,都成立關於第三類半導體的研究群,訓練出眾多的碩博士生投入相關的產業。每年IEEE功率半導體最主要的會議ISPSD,中國的高校在第三類半導體的議題上,貢獻一半以上的論文。中國第三類半導體廠商的確接受政府為數不少補助,才得以建立今天的產業規模。從已公布的財報而論,山東天岳及天科合達本業都是虧損的,英諾賽科離損益兩平是更遙遠。在此情境下,各家仍卯足全力來擴產,似乎是不理性的行為。但是綜觀中國過往在太陽能、LED甚至鋰離子電池,在市場還在萌芽之際,便積極地投入產能,只要這個產業的成長性是可被預期的,假以時日,中國擁有這產業的半壁江山,就具有充分話語權。台灣該如何自處呢?在此態勢下。多年前個人就說明了,第三類半導體產業需要供應鏈的垂直整合,而在台灣卻缺乏政策上有效的支持,現在再來談,為時有點晚。我們只有期望在全球兩大陣營的僵持下,我們想辦法能左右逢源,但這可以維持多久呢? 
奈米壓印的初始應用 (二):近期市場
Canon的FPA-1200NZ2C奈米壓印機這個型號,其實最晚在2015就已出現在相關的學術期刊上了。已經出現8年的舊機型能夠重新上新聞並且吸引注意,最主要的原因在於它將要進入比較大範圍的半導體量產應用。  延伸報導名人講堂:奈米壓印的初始應用 (一):技術與挑戰奈米壓印有2個特性可以有效地拓展它的應用範圍。第一,是它不僅適用於2D圖形的列印,而且有些3D圖形也可以用單一模板來轉移線路圖形,有效的簡化製程。另外一個特性,是奈米壓印可以用於任何基板,不只是適用於矽晶圓上。  這2個特性讓奈米壓印已經開始被應用於一些次領域,譬如生物感測器等。只是這些領域的產值相對較小,未能獲得充分關注。  這次新聞受到較多關注的原因,是奈米壓印要進入主流半導體製程行列,而且時程明確。  鎧俠(Kioxia;原東芝記憶體)與SK海力士(SK Hynix)將於2025年開始,以奈米壓印技術生產3D NAND Flash。NAND在很長一段時間內是市佔率僅次於DRAM的半導體產品類別,奈米壓印進入大宗產品的製程行列,意義非凡。  東芝(Toshiba)於2004年就開始以奈米壓印試產NAND,目前與Canon和大日本(Dai Nippon)等公司為共同推動建立奈米壓印技術生態的主力成員。SK海力士與鎧俠素有各式的市場、技術合作,同時宣布採用奈米壓印技術也在情理之中。  NAND可以率先採用奈米壓印有其技術上的理由:NAND是記憶體陣列。一般記憶體陣列線路圖形高度重複,基礎單元結構相對簡單。最重要的是其容量設計可以留有冗餘(redundancy),如果製造過程中有局部線路圖形產生缺陷,可以用硬體方法融斷(fuse)受損部分,以原先預留的冗餘部分替代,晶圓整體良率可以維持在較高水準。  如果奈米壓印要應用到DRAM,缺陷密度的要求也一樣可以較為寬容。但是DRAM底部有很稠密的電晶體觸點(contact),因此上下層間的對準就變得格外重要,以前奈米微影機的技術規格尚達不到量產的要求,需要再改善覆蓋後才談得到DRAM的應用。至於邏輯晶片,由於線路中大多不是重複的圖形,比較少有冗餘設計的可能,對於粒子或缺陷極為敏感。目前的奈米微影機仍需降低粒子和缺陷才有辦法跨入邏輯晶片的製造應用。  另一個比較有期待的領域是矽光子。奈米壓印在轉印線路圖形時的線邊緣粗糙度(line-edge roughness)的表現優於曝光機的表現,因為沒有光的干涉、光阻蝕刻等問題,這使得光子在通過這些以奈米壓印製造的光元件時,表現更符合原設計的預期效能,而且一般光學元件製造層數較少,層間覆蓋的問題沒有那麼尖銳。另外,光學元件很多是3D圖形的,這正是奈米壓印的強項之一。  矽光子還有另外一個機緣。原先在異質整合路線圖(Heterogeneous Integration Roadmap;HIR)中計劃於2020年矽光子就會出現在異質整合晶片市場中,但是實際上被延遲了。由於人工智慧(AI)應用的興起,大量資料移動的需求要以光的形式來實現,台積電就宣布在2025年開始矽光子的量產。  半導體產業的邏輯,總是會將機器設備的價值利用到最後一刻,善用原始的巨大投資,所以對新設備的引進就有潛在的利用障礙。但是對於新建的產線或廠,只要事前有足夠的生產驗證,大規模的採用新設備比較有機會。奈米壓印恰好於此時較明顯的出現在產業的視野之內,不能不說是風生水起的機緣了! 
AI時代企業的關鍵機會和思維
隨著人工智慧(AI)技術發展,人工智慧已深入人類生活。為了讓產業、政府和學術界能夠理解AI、GPT等科技的重要性及未來趨勢,中華政大企業管理協會特別舉辦年度企業論壇,邀請國內專家探討AI時代的產業策略,期許台灣把握人工智慧的機會,引領世界經濟向前。我被邀請給一個主題演講(Keynote Speech),在論壇分享「AI時代企業的關鍵機會和思維」, 以智慧城市的發展前景引導出台灣在AI時代的優勢和發展策略,並探討企業在這個時代所需具備的關鍵能力和思維,以及AI對人類所帶來的挑戰和影響。為了因應AI所帶來的挑戰,我呼籲大家學習電腦語言(如Python),因為在未來,電腦語言將成為不可或缺的技能,並能夠增強個人的競爭力。今日電腦語言已愈來愈人性化,形同學習英文或日文,大家不應害怕排斥。同時,我也提到在AI時代,數據的重要性變得非常突出,但我們必須注意數據的正確性和可靠性,因為數據的錯誤可能導致AI錯誤預測的結果。因此,我們應該重視有效管理和處理大量乾淨的數據,同時也要關注隱私和法規問題,確保數據的合法使用,避免引發法律爭議。我以白草莓病害偵測為例,經由生成對抗網路(GANs)生成圖片訓練演算法,我能將病變偵測的準確率由87.50%提升到 96.88%。另一個例子,梅約診所(Mayo Clinic)和NVIDIA、MGH&BWH臨床數據科學中心合作,使用GANs創建「假」腦部核磁共振掃描。他們發現,通過訓練算法於這些「假」醫學圖像和10%真實圖像,可以成功識別腫瘤,避免昂貴且艱鉅的真實圖像收集。關於企業在AI時代應該如何把握關鍵機會,我以公司部門改造為例,提出了以下步驟。首先,工作人員應該將年度目標與關鍵成果OKR(Objectives and Key Results)置於一旁,優先找出日常工作中的瓶頸。接著,尋找適合的AI工具,或者藉由詢問ChatGPT等技術來撰寫能夠串連API的程式,進行自動化。完成後,進行測試,一旦成功,便可將自動化流程固定下來。我最後強調,AI在現代社會中已變得不可或缺,我們不應忽視數據集中和計算力的重要性,也應更深入地思考和探討如何應對AI的發展和應用,因應未來AI所帶來的變革和挑戰。同時,我們也應更積極地應用AI來解決社會問題,改善人們的生活,期待AI能在未來帶來更多的驚喜,為社會創造更多的福祉和進步。
奈米壓印的初始應用 (一):技術與挑戰
最近Canon發布可以達5奈米製程節點的奈米壓印機FPA-1200NZ2C 。奈米壓印是半導體製造中將線路設計圖案轉印到晶圓的方法之一,另一個為人熟知、也是目前產業界中用以量產的主流方法是曝光機。  奈米壓印的方法其實很簡單,就像用木模板轉印圖案到紅龜粿上一樣。紅龜粿模板是陰刻,1:1的將龜的圖案壓在煮熟的糯米粉團上,壓印後的圖案是陽刻的。這其中沒有像曝光程式中牽涉到光源、光學系統、感光、顯影、蝕刻等複雜的過程以及精密昂貴的設備,所以晶圓處理程序價格相對較低似乎是理所當然。  關鍵的技術是壓印模板的製造,以及前文中以糯米粉團所比擬的高分子樹脂(polymer resist)及整個壓印過程。壓印模板與欲轉印的圖型是1:1,所以在製造模板時要有至少與在晶圓上欲轉印的圖案一樣精細的解析度,這用來塑造模板圖樣的工具自然是電子束(electron beam)。電子束是半導體業用來在光罩上形塑線路圖樣的主要工具。  電子的德布羅意(de Broglie)波長是0.08奈米,也就是說電子束理論上的解析度就是在這數量級。對於任何目的的刻畫,這都遠超過所需要的精度—這比原子都小!  問題是被電子束用來呈像的物質會與電子發生作用,因此電子束刻畫的解析度極大程度的依賴於使用的物質。目前電子束的解析度大約在5~10奈米左右,這對於5奈米製程實際的臨界尺寸(critical dimension)14奈米便夠了。奈米壓印還預告未來可以推進到2奈米製程節點,它實際的臨界尺寸是10奈米,也還在目前電子束解析度可觸及的範圍之內。  以電子束刻畫的模版是母板(master plate),接下來就是大量複製。說「大量」一點也不誇張,因為目前奈米壓印機每小時產量(throughput)就只有100片上下—這大概只比EUV剛推出時的產量稍高,而模板可以使用的次數在幾千次的數量級,大概是幾天就得更換。  在奈米壓印之前,基板需先滴有高分子樹脂(polymer resist),與基板上粘合層(adhesion layer)充分ˇ浸潤(wetting)。之後就是將模板壓在布滿高分子樹脂的晶圓,藉壓力及毛細現象讓樹脂延伸入模板圖形之中。然後用紫外光固化(UV curing)樹脂,取下模板。  奈米壓印過去技術發展的挑戰和上述的壓印程序和使用的物質有直接的關係。過去的幾大挑戰分別為覆蓋(overlay)、產量、缺陷率(defectivity)和粒子。  覆蓋是指元件上下不同層間結構的對齊問題,在奈米壓印製程中會產生覆蓋問題的原因之一是壓印過程中樹脂被壓印而扭曲或變形,以致於上下層之間的相應結構無法對齊。此為奈米壓印過去在技術上常被詬病的地方。 延伸報導Canon新NIL系統成本優勢 有利晶片製造大眾化發展又譬如奈米壓印的產量其實取決於樹脂滴(resist drop)的大小、擴散速度以及跟基板粘合層的浸潤速度,此基本上是材料特性的問題。  這些問題在過去發展的30餘年間主要由物質的改善以及一些輔助的機制,譬如上下層對準校正等,這些問題獲得相當程度的改善,奈米壓印因而逐漸步入量產製程的行列。 (作者為DIGITIMES顧問)
半導體產業獎勵促進條例:適用階段與效果(三)
研究補助金與合作研發中心都是針對半導體技術研究與發展的現金補助,與以稅賦減免的方式來獎勵企業的技術研發不同。政府可以扮演更積極的角色,執行方式也各有變形。 研究補助金最著名的成功案例之一是曝光機光源的研究。此計畫經費的來源是國防高等研究計劃署(Defense Advanced Research Projects Agency;DARPA),90年代半導體產業在尋求下世代曝光機光源的過程中最終選擇EUV,研發後技術移轉,最後在ASML手中經歷20年發展終於完成量產,在目前及未來的繼續微縮之路獨挑大樑。 但是這種大型的基礎科技研發計畫對於處於發展初期的產業和企業並無太大幫助,也沒有辦法執行。能夠按部就班的豐富產業生態、增加企業存活率者,多是短期產品開發或技術的應用發展類型的計畫。  合作研發中心的例子如台灣的工研院,或台灣半導體研究中心。  除了提供技術服務、研究合作、儀器分享、產學合作等預期中的功能外,這類機構還可以有其他至少兩樣重要的功能:蘊育新創,和企業聯手攻關。  在資金環境相對友善的情況下,所研發的接近量產階段技術,及其相關的研發人員,可以分立(spin off)出新創,使得半導體產業的生態環境變得更豐饒。這原是工研院設立當時的初衷之一。在台韓長期競爭的歷程中,這些由研發機構分立出來的新創成為台韓產業發展成截然不同風貌的主要原因之一。這也是在新興國家產業發展之初就可以採取的措施。 另一個措施在產業發展到一定階段才能發揮作用。當半導體企業能夠成功存活下來,下一個重要的關卡在於如何從營業盈餘中產生足夠的經費支持獨立的研發。政府的所有研發經費補助其實都是在協助企業解決研發規模經濟不足的問題。  從接受政府補助到能夠支持自主獨立研發的過渡期間,企業聯合研發可能是較好的方案之一,譬如當初的IST(IBM-Siemens-Toshiba)聯盟共同研發DRAM技術。合作研發中心正好可以當成此種研發聯盟的平台。 人力資源短缺的問題發生於有半導體產業的幾乎每個國家的每個階段,原因各有不同。處於產業發展初期的國家大概都是因為缺少產業歷史因而沒有足夠有經驗的從業人員;而處於產業發展後期的國家有可能是人口基礎已經開始下降,如東亞諸國,或者是產業在其國內薪資的相對競爭力不足。人力資源問題政府必須介入,因為牽涉到公權力相關事宜,如移民政策、教育、勞工等,是以勞動力發展和培訓必須要成為半導體發展政策的一部分。 值得注意的是對於高級人力資源的養成方法。現在的教育體制有半導體專業化的趨勢,譬如半導體學院或微電子研究所。回顧以前半導體的發展歷程之中,雖然工程人員以電機背景居多,但是其他理工背景如材料、化工、機械、資工、物理、化學等的也不在少數。現在的半導體的加值軸線,也已經從單一的製程微縮走向多面向,譬如新材料開發的碳化矽、氮化鎵,以及先進封裝等。這些新方向的開發需要有各類基礎科學的支持。接受傳統半導體技術教育的無疑比較專精,因而能立即投入生產。但是對於未來半導體的發展、創新是否有利則是大有疑問。政府於勞動力發展和培訓的制訂必須依發展階段慎重考慮。  最後要提醒,各類的獎勵補貼政策訂定時也要考慮國際市場的規矩。WTO訂有「補貼與反補貼措施協定」(Agreement on Subsidies and Countervailing Measures;ASCM),禁止特定的補貼行為。譬如第三條(Article 3)中禁止出口補助或優先採購本國產產品,雖然此協議對發展中國家有特別的彈性與考慮。另外,各國亦有反傾銷法律用以對付受政府過度補助的不公平貿易兢爭。  雖然WTO現今對於全球貿易秩序的規範能力已不如當初設立之時,主權國家的行為也不受法律的管轄,但是上述規範的懲處最終會落在接受補貼的個別企業或產業上,訂定產業獎勵促進條例時要先將這些後果考慮清楚。
掌中戲的想像
將數位科技結合人文,呈現人生百態,是物聯網最迷人之處。羅斯福夫人(Eleanor Roosevelt;1884~1962)說: 「我們是命運的傀儡,無法指揮命運,而是被它塑造。」,但是我們仍努力地想掌握人生,敘述生命的故事。在機緣巧合下,我擔任布袋戲西田社的董事,就在掌中戲中發揮想像,布袋戲偶的命運掌握在我的手中。利用物聯網技術,我與羅禾淋教授帶領學生們創作PuppetTalk,能以智慧手套控制機器人偶。於是我們跨越時空將傳統布袋戲偶結合現代舞蹈,敘述我們的故事。PuppetTalk計畫充分運用機器手臂操控實體掌中戲偶,透過動作捕捉手套紀錄舞者在肢體延展時的手部動作,以手勢牽動延伸到身體,因此把動作數據化,數據轉譯控制機械手臂之運動,如此如同再次思考戲偶的「動」到操偶的「姿」,再從操偶的「姿」到身體的「形」。形與意之間,印證偶戲歷史在文化脈絡中的傳承,生生不息。計畫第二階段將加入多軸機械手臂,使操偶動作更趨近原樣,使傳承可以永恆。2022年,PuppetTalk受邀到德國TANZAHOi國際舞蹈節表演。我們打破德國人的想像,跨越東、西方地界,經由廣達電腦提供的5G傳輸,由德國的智慧手套控制台灣的機械手臂及掌中戲偶。我們是如此的貪心,跨越國境,遠距操控。南緯集團旗下愛克(AiQ)的智慧手套更結合羅禾淋教授的機器人偶及虛擬人物Avatar,榮獲2023年日本設計大獎Good Design Award。PuppetTalk利用物聯網(IoT)的智慧手套感測,可以捕捉並紀錄布袋戲大師的手勢橋段,以雲端大數據收集切割手勢橋段,並以人工智慧(AI)重組手勢橋段,最後再以多媒體進行虛實人偶的互動整合。其技術成果發表於國際學術期刊。在論文中,我寫下一首英文詩,並將之翻譯成中文:「掌中乾坤有誰知,演戲瘋來看戲痴;人生好比布袋戲,曲終人散樂自知。」在此時刻,心中喜樂,覺得可以掌握自己的命運。其實一直想塑造我們的,不是命運,而是旁人。羅斯福夫人忠告我們: 「永遠不要讓一個沒有權力說“是”的人告訴你“不”。」這句話的意思是永遠不要讓別人說你不能做好某事,而這件事他們自己卻從來沒有做過。人們不樂見別人成功,看見他們比自己更好,常會阻止別人,並說是做不到的事。我們對自己要有信心,不為浮議所動。經由布袋戲西田社,我亦有緣認識陳耀昌先生(《傀儡花》作者)。他曾笑著說,PuppetTalk和《傀儡花》都有以傀儡影射的深意。《傀儡花》不只反映歷史,也反映世代傳承,甚至反映族群命運及性格。藉由PuppetTalk的資通訊科技,我們企圖掌握自己的命運,尋求永恆的傳承。掌中戲是一個文創科技很好的例子,我們由布袋戲西田社的文創需求,連結到廣達的5G技術以及南緯愛克的智慧紡織技術,有無限想像的空間。
半導體產業獎勵促進條例:適用階段與效果 (二)
稅賦減免、加速折舊、研發補助金、財政補貼等,都是以財稅的形式補貼半導體產業的投資、設備購買、研究發展所需。 稅賦減免一般是從企業營業所得稅中減免一定百分比的已投資、設備購買或研發經費,這是一般政府比較喜愛採用的獎勵形式。原因是政府給的補助經費其實是企業發展成功後自行創造出來的,是個無中生有的辦法。但是這個辦法比較適用的產業發展階段,是產業稍為有立足之地、能加入國際競爭行列的階段之後。對於甫開展半導體產業國家中的初始企業,既無法減輕投資的負擔,也無法增加短期內其生存的機率。畢竟營所稅的減免只適用於企業已有盈餘產生的狀況,而一般半導體製造廠規劃的盈餘年度至少在公司開始設立廠房的第五年後,能準時達標的已算是其中佼佼者。 因為對起始的企業幫助不大,企業可能以變通的方法來利用。舉個有趣的實例。有一個國家對於半導體設備投資有營所稅減免的獎勵,但是如前所述,這是一筆看的到、吃不到的獎勵。於是,半導體企業與金融機構聯手「協作」,由金融機構購買半導體設備後租賃給半導體企業使用。由於金融機構是賺錢的企業,一剛開始就可以使用投資半導體設備的營所稅減免。從政府所取得的部分稅賦減免金額則以降低設備租賃金額的方式,回饋給半導體企業。政府補助半導體產業的意圖由於獎勵方法的不恰當而被迫部分流向他處;而半導體企業生產設備以租賃的方式租用通常是最不得已的最後手段,好似典當變現。這個國家最終落得12吋廠完全消聲匿跡。訂定稅賦減免條例必須能夠精準規範其最終受惠對象。加速折舊容許企業在較短的時間內將設備折舊完畢。譬如台灣的半導體製造設備會計上折舊年限一般是5年,加速折舊就譬如說在3年內折舊完畢,只留殘值。這樣一來,由於在前三年內折舊金額較高、帳面上的生產成本較高,盈利因而較少,需要繳交的營所稅較少。其所提供的實質好處就是讓企業能從「未來」調動現金流到現在,能夠提前投入資金於擴充產能或研發。這個辦法比較適用於長期有盈餘、量產主要依賴於少數領先製程的公司,譬如過去DRAM扮演先進製程推手(technology driver)次產業時的三星電子(Samsung Electronics)。對於存活是首要任務的新進公司,這可不是實惠,也用不著、不敢用。加速折舊必然的會擴大初期的營運虧損,其所顯示的營運結果會令潛在投資者為之卻步。 財政補貼是真金白銀,要編列在政府預算之中。對政府是結結實實的現金投入;接受者也是實在的優惠。半導體產業發展初期產業環境欠佳、進入障礙高,現金補助可以有效降低投資風險,降低進入障礙,這是對產業發展初期最有效的補助手段。然而,由於這是政府真實的投入,所以一般財政補貼會綑綁許多附加條件。除了對於投資者的資格審查外,一般也附有一些條件,譬如對就業機會的保障—特別是歐洲國家。 用現金的補助雖然要比較嚴格的規範補助標的,但是以達到特定技術門檻才給予補助可能不是一個好手段。半導體發展是一個漫長的過程,在產業發展初期能夠讓企業存活、進入經營良性循環、豐富產業生態才是比較務實的發展策略。
第一部實用的電子計算機
我擔任國立陽明交通大學資訊學院院長時,學院有不少老舊的大型電腦設備。根據學校流程,這些舊設備應該報廢,以免佔據空間。我當時覺得這些電腦代表計算機科學的演進,應該予以保存,而有了成立電腦歷史博物館的念頭。經過十幾年後,這個構想才由彭文志系主任實現,在資訊學院的地下室成立博物館。當初我擔任院長時,國外友人願意捐出一部ENIAC(Electronic Numerical Integrator And Computer;ENIA)部分零件,然而物換星移,最後沒成功,相當可惜。ENIAC是首部實用的電子計算機。第二次世界大戰時,美國陸軍軍械部(Army Ordnance Department)為了量測槍砲的彈道,出資給賓州大學的摩爾學院(Moore School of Electrical Engineering),研製能進行大量計算的機器,以填寫彈道表格。當時軍方的聯絡人是Herman Goldstine少尉,而賓州大學計畫主持人是John Brainerd教授,團隊成員包括2位學生John Mauchly, 以及Presper Eckert。關於Brainerd對ENIAC的貢獻,鮮少人提及。IEEE有文章溢美Brainerd,說:「Under Dr. Brainerd's inspiration, leadership, and supervision the ENIAC was conceived and built.」。但是,其他文件卻顯示Brainerd曾阻撓ENIAC的發展。Mauchly首先於1942年提出程式(Program)這個名詞,並寫了一份7頁的提案 《The Use of High-Speed Vacuum Tube Devices for Calculation》,建議發展電子設備(Electronic Device)取代機械式計算設備 (Mechanical Calculation Device),認為可藉此大幅加速計算。然而Brainerd懷疑其可行性,將之存檔,束之高閣。幸好Goldstine看到這份報告,直接要求Mauchly正式提案,由軍方提供經費。1943年,發展ENIAC的計畫由Mauchly主導觀念性的設計,Eckert負責硬體工程。這個計畫被列為最高機密,代號為「PX」。ENIAC由18,000 個真空管及1,500個繼電器組成,重量約30公噸,佔地1,500平方呎,消耗140千瓦電力,需要2部12匹馬力的吹風機散熱。ENIAC程式設定為外接式,全由手工在接線板上設定完成之。ENIAC的高速計算能力遠勝於過去機械方式,可以在一秒鐘內做5,000個加法或357個十位數的乘法運算。除了用來計算彈道外,ENIAC也用於發展原子彈的計算。傳說這部機器一運轉,費城(Philadelphia)西區的燈光會變暗。維持此機器正常連轉著實不易,大約每2天就有1個真空管故障。ENIAC服役10年後,於1955年10日月2日正式退役。1945年,ENIAC升級改善,增加程式儲存的功能(Stored-Program),命名為EDVAC。Eckert發明一種特殊記憶體「水銀音波延遲線」(Mercury Delay Line Memory),同時儲存數據(Data)及程式(Program)。這是一個創新做法。此時數學奇才John von Neumann正於賓州大學擔任顧問,參與EDVAC計畫的相關討論。von Neumann寫了一份EDVAC的內部報告《First Draft of a Report on the EDVAC》。因為von Neumann是超級大牌人物,Goldstein將這份報告送到和von Neumann往來的軍事單位,以宣傳EDVAC計畫的卓越。問題是,Goldstein刻意將報告中提到Mauchly和Eckert的部分刪除(大概嫌他們不夠大牌)。讀到這份von Neumann報告的人,對於報告中EDVAC這種創新的計算機架構都大感驚豔,稱之為「von Neumann Architecture」。現代計算機的設計幾乎都遵循von Neumann Architecture。例如劍橋大學的Maurice Vincent Wilkes,根據這份報告造出第一部儲存程式的計算機EDSAC(Electronic Delay Storage Automatic Calculator)。Mauchly和Eckert吃了悶虧,未能得到應有的功勞。von Neumann非掠奪之人,從未宣稱他是這個架構的發明人。Mauchly一直活躍於電腦界,是ACM(Association for Computing Machinery)共同發起人,後來並成為ACM的總裁。我因為資訊技術(Information Technology)貢獻,有幸於2003年被選為ACM會士(Fellow),為全球第十七位華人獲此殊榮者,深感榮幸。
半導體產業獎勵促進條例:適用階段與效果 (一)
全球供應鏈重組的過程中,提供許多供應鏈國家的內部半導體的市場。做為系統製造最關鍵的零組件半導體元件,系統製造廠所在地的國家無不尋求半導體元件製造的進口替代機會。  在半導體產業根基薄弱的狀況下,政府以產業發展獎勵促進條例,提高初始投資成功的機率也是勢所必然。更何況,從產業發達國家自美、日、韓、德等乃至於產業發展中國家,無不使用各式獎勵辦法。如果產業發展中國家沒有獎勵促進條例來彌補產業生態環境的先天不足,於半導體產業的發展恐怕距離會愈來愈遠。  一般半導體產業獎勵促進條例,包括:稅賦減免(tax deduction)、加速折舊(accelerated depreciation)、研發補助金(research and development grants)、財政補貼(financial subsidies)、出口促進計畫(export promotion program)、勞動力發展和培訓(work force development and training)、智慧財產權保護(intellectual property protection)、監管支持(regulatory support)、合作研究中心(collaborative research centers)、貿易協議(trade agreements)、投資促進機構(investment promotion agencies)、環保激勵措施(environmental incentives)、群聚發展(cluster development)、政府優先採購(government purchase preferences)等諸多措施。除了貿易協議與出口促進計畫與因半導體在各國關稅均瀕於零而較少見諸實施外,其他的措施在台灣半導體產業發展過程中的各階段幾乎都發揮過其作用。 對處於半導體產業發展初期的國家,監管支持和群聚發展最好由政府直接介入、投資。監管支援是指單一的行政窗口以流水線的方式涵蓋所有的行政處理作業。這個措施牽涉到法規的修訂和機構的設立,都需要公權力的直接介入;群聚效應則需要足以供產業群聚的大片土地以及相關的基礎建設—沒有公權力的支持,即使有資金投入也是寸步難行。 台灣過去發展的歷史是以科學園區與科學園區管理局(以下簡稱科管局)一併解決產業群聚以及監管支持的問題,其中自然有法源依據。但是徒法不足以自行,能讓其動起來的自然是政府先期的投資。有些國家想單靠獎勵條例來促成群聚效應,這恐怕是條艱難的旅程。 科管局是園區所有涉政府業務接洽的單一窗口,而且直屬中央政府。在行政效率、政策的統以及行政清廉的監管上有較佳的表現。如果沒有這單一的窗口,投資的不確定性便會大幅增加。 講一個實例。原先科管局的確統一管理所有園區中,業者須與政府接洽辦理的所有相關業務,但是後來環保法規立法之後,沒有將園區的環保業務也撥歸科管局一併管理。有一年新竹市政府向園區廠商募款以改造城區,不久之後突然向園區廠商發動環保檢查,裁罰30幾家廠商,而這些處罰有很多是有高度爭議性的。罰款的金額對於園區的廠商是可以容受的,但是高科技廠商需要持續的資金投入,向資本市場募資是經營常態。募資時的必要檔案公開說明書(prospectus)中如果附記環保事件,對於募資活動將造成極大的困難。特別是在歐、美資金市場,環保事件代表極大的經營風險—可能面臨政府處罰以及民事甚至是刑事訴訟。後來解決方式自然是立法修改,將環保的監管權也併入科管局,維持單一窗口的監管體制,避免多重監管單位所造成的複雜經營風險。 
量子點以及其應用
2023年諾貝爾化學獎,頒授予Moungi G. Bawendi、Louis E. Brus以及Alexei I. Ekimov,表彰他們在1980年代發現和合成量子點(for the discovery and synthesis of quantum dots)。  大概念來說,量子點是人工製造的「原子」(artificial atom)。  20世紀迄今,人類文明發展大幅度的依賴於電磁學,包括它所涵蓋的電荷、磁、自旋、電磁波等諸種現象。對於用於承載、操控這些現象的物質,我們對其瞭解的基礎知識是量子力學以及電磁學。人類對於這些性質的應用,大都是順從自然的安排。譬如矽的帶隙能量(energy gap)為1.12 eV,積體電路的柵極電壓就設為比這數值稍高,用以開關電晶體。又譬如DUV雷射光源的氟化氬(ArF;Argon Fluoride)分子的能階間隙是6.42 eV,所以ArF DUV曝光機對應的波長就是193奈米。換句話說,人類雖然開始掌握關於物質的部分知識,但是對於這些知識的應用,人類過去大致上是聽從自然的安排,至少在那些物質的特性參數是如此的。 如果我們想「設計」物質的基礎特性呢?譬如它的光、電荷、自旋等性質時呢?我們用以建構的基礎單元—也就是類似樂高的積木塊—仍舊是自然的原子及其形成的分子,只不過這次要使用基礎單元數目要多得多,1個量子點可能要使用100~10,000個原分子來建構,這樣製造出來的量子點大小直徑在數奈米至100奈米之間。我們的付出的代價是較複雜的製作程序,以及較為龐大的單元尺寸;收益是可控、可設計的量子點的光、電、自旋等特性。這些特性可以藉由量子點的大小、組成材料、形狀等來調整其內部能階,而能階正是物質的量子特性之一,是以名之。 可以設計出人工原子,自然也可以設計出人工分子、乃至於超晶格(superlattice)等更大尺度的結構。 量子點的製作材料過去以II-IV族、III-V族為主,譬如硫化鉛(PbS)、硒化鉛(SePb)、硫化鎘(CdS)、硒化鎘(CdSe)、碲化鎘(CdTe)、砷化銦(InAs)、磷化銦(InP)等。  但是II-IV族量子點多含重金屬,譬如鎘與鉛,對環境相當不友善,所以顯示器中的量子點目前正轉向III-V族的量子點,譬如磷化銦(InP)、硫化銅銦(CuInS)等。而III-V族量子點如當成生物中的體內(in vivo)當感測器或成像使用,可能有毒性或致癌,因此目前正尋找其他材質如矽、碳等,或者加以表面修飾(surface modification)以製作安全的量子點。  量子點的應用非常廣泛,包括顯示器、單電子電晶體(SET;Single Electron Transistor)、太陽能電池(solar cell)、LED、雷射、單光子光源(single-photon sources)、二次諧波生成(second-harmonics generation)、量子點量子位元(quantum dot qubits)、生醫研究裡的感測器及成像(imaging)等。  量子點顯示器已經商業量產,製造方法與LCD差不多,只不過LCD中用來當背光(backlit)白光LED改為藍光的量子點。製作流程先是在基板上以有機金屬化學氣相沈積法(Metal Organic Chemical Vapor Deposition;MOCVD)製作藍光量子點,於這層之上製造並排的綠光量子點及紅光量子點當彩色濾光片,另外留一處空缺透藍光,形成RGB三原色畫素。  量子點顯示器有深黑色(deep blacks)、最佳視角(optimal viewing angle)、原始色彩(pristine colors),較省電、高色彩飽和度(saturation)、較寬色域(wider color gamut),壽命亦較長。目前市場上的競爭對手是OLED,但是未來解析度再走向8k以後,暫時沒有能涵蓋如此廣泛色域的對手。  量子點的2個前瞻性應用,分別是生物醫療研究與量子計算。  量子點於生物中可以用於成像、標記(label)、運送(delivery)、感測等功能。量子點具有明亮且穩定的螢光,而且可以調整其顏色,還可以附加功能基以鎖定特殊標靶。由於其尺寸僅數奈米,不僅微米級的動物細胞可以輕易解析,連尺度與其相當的蛋白質也可以用量子點來標記研究。  一個有趣的應用領域是用來研究腦細胞及功能,這個研究領域又終將回饋到人工智慧(AI)、類神經晶片(neuromorphic chips)、腦機介面等競爭激烈的尖端科技新領域。  只是如前所述,量子點於體內毒性的問題需要先澄清並克服。  量子點量子位元是被寄予厚望的量子計算技術,因為它不只是半導體相容的技術—它本身就是半導體技術。如果原型開發成功,它可以立即利用目前成熟的半導體生產體系快速投入量產。  目前的量子點量子位元是自旋量子元(spin qubit),即量子點中約束1個電子,而且這個電子的自旋的狀態可以被操控、測量,當成量子位元使用。  量子點量子位元的技術發展面臨的主要挑戰,是量子點量子位元之間不易形成量子糾纏,目前可以相互糾纏的量子點量子位元數一隻手數得完。不容易被環境干擾的量子位元,也意味著不容易與周遭的量子位元形成量子糾纏。這是典型的工程問題—權衡兩難以最佳化。 量子點此次獲得諾貝爾化學獎實至而名歸。它發現人工原子,使得人類擁有更進一步操控微觀世界的能力,它對文明及經濟的貢獻已經開展在照明及顯示器上,而它又可以成為促成其他領域新發現的工具,這些都是典型得獎作品的印記。