新思科技設計解決方案獲台積電3奈米製程技術 智慧應用 影音
hotspot
ST Microsite

新思科技設計解決方案獲台積電3奈米製程技術

  • 吳冠儀台北

新思科技近日宣布,針對台積電3奈米製程技術,新思科技的數位與客製化解決方案已通過台積電最新設計參考流程及製程設計套件的認證。植基於多年來的廣泛合作關係,該認證將提供共同優化的工具、流程和方法,使客戶能實現該製程所帶來的最大功耗、效能和面積(PPA)表現,進而加速新一代高效能運算(HPC)、行動、5G和AI 晶片設計的創新。

台積電設計建構管理處副總經理Suk Lee表示,台積電的先進技術需要全新層次的EDA協作與創新,以實現3奈米製程技術的高效能和低功耗目標。與新思科技的長期合作有助於加速客戶取得台積電最新製程所提供的優勢,並讓台積電最新流程所帶來的效益達到極大化。雙方將持續密切合作,為HPC、行動、5G和AI應用實現新一代的設計。

新思科技具高度整合的融合設計平台(Fusion Design Platform)是此次雙方在先進節點合作成功的關鍵,為台積電的3奈米技術提供了全流程設計收斂和緊密的簽核相關性。

新思科技的Fusion Compiler以及IC Compiler ll布局繞線產品採用新的全域和詳細繞線技術創新,實現時序結果品質(quality-of-results;QoR)的優化。全流程總功耗優化的提升,輔以合法化與最佳化併行技術,能實現所需的總功耗分布並達成整體優化PPA的設計指標。

針對3奈米製程的合作內容,其他的實作技術也包括:支援具備著色和通路銅柱考量的先進佈線,以及創新的正反器優化,有助於著重效能和低功耗的設計。此外,Design Compiler NXT合成(synthesis)產品是融合設計平台的關鍵元素,經強化後,藉由與IC Compiler II更緊密的時序相關性,能提供更為收斂的設計流程,讓所有以N3製程為目標的設計皆受益。

新思科技與台積電就3奈米製程的合作內容還包括PrimeTime對低電壓變動的支援,以及支援台積公司的布局(placement)規則,能在實作和簽核期間實現收斂的ECO收斂。新思科技的PrimePower支援3奈米實體規則的功耗簽核,包括漏電功耗和動態功耗以及StarRC萃取建模的強化,能帶來所需的準確性。

其他經台積電3奈米技術認證的簽核解決方案包括:NanoTime客製化時序簽核、ESP客製化等效性驗證以及QuickCap NX寄生場解算器解決方案。新思科技IC Validator 物理簽核經強化後可支援所有先進製程的要求,包括用於提升密度的全新虛擬填充功能、用於布局與原理圖檢查的布局依賴效應,以及用於DRC,經強化過的三向電壓規則除錯效率。

Custom Compiler設計和布局解決方案是新思科技客製化設計平台的一環,能為使用台積電先進製程技術的設計人員帶來更好的生產力。Custom Compiler的許多增強功能獲得新思科技DesignWare IP團隊的先期 3奈米用戶的驗證,這些強化的功能可減少設計人員為符合3奈米技術要求所投入的心力。

作為PrimeSim Continuum解決方案的一部分,新思科技PrimeSim HSPICE、PrimeSim SPICE、PrimeSim Pro和PrimeSim XA模擬器,能為台積電3奈米設計改善周轉時間,並提供符合電路模擬和可靠性要求的簽核範疇。

新思科技數位設計事業群總經理Shankar Krishnamoorthy表示,台積電與新思科技合作,一同突破設計可實現的極限並加速新技術製程的進程,讓整個產業生態系和雙方客戶皆受惠。雙方就3奈米技術在數位和客製化R&D的最新合作,將技術創新帶到全新層次以克服製程的挑戰,從而為共同客戶帶來新的機會,使其能及時規劃先進的產品。