智慧應用 影音
高頻寬記憶體風雲(三)產業生態樣貌可能的變遷
在討論HBM4標準介面對DRAM產業生態的衝擊之前,讓我們先回顧一下DRAM產業的現況。DRAM產業從2014年的20~22奈米製程,到2024年SK海力士(SK Hynix)跨入10奈米製程,整整花了10年的工夫。如果在過去摩爾定律還適用的年代,這樣速度的製程進展只能算是前進2個世代節點,這是過去用3年時間就可以取得的成果。DRAM製程進展如此遲緩當然是因為DRAM物理特性所造成的限制:DRAM的記憶單元是電容,而電容值(capacitance)與電容面積成正比。在製程持續微縮過程中,電容面積理當會變小,因而電容能保持電荷—就是記憶體單元中的訊號—的時間會縮短,因此每次製程推進時,還要維持電容值不變,這就成了DRAM新製程研發時的最大夢靨。沒有快速的製程推進,就無法在同一面積晶片上提高效能、持續快速的創造新價值。兼之DRAM進入1b、1a製程後,使用昂貴的EUV似乎無可避免,這讓單位面積成本的下降更為艱難。如果製程快速推進無法成為晶片增加經濟價值的手段,就得有其他增加價值的方式。譬如說,創造應用面的價值。目前DRAM在各類應用的標準介面相繼出爐正是此一趨勢的顯現,從原先主流的DDR(Double Data Rate),再到適用於移動系統的LPDDR(Low Power DDR,節能)以及GDDR(Graphic DDR,寬頻)、HBM(大容量、超寬頻)等。也就是說,DRAM產品雖然還有統一的介面標準,但是產品市場正逐漸走向碎片化過程之中。產品市場分化的下一步就是客製化。客製化產品的供應與需求中間的關係是專買與專賣,因此可以很大程度的避開大宗商品(commodity)市場典型的週期性起伏狀況。改變產業的生態樣貌、藉以避免業務及財務的大幅震盪等,也許是這些想客製化HBM記憶體公司的考量之一,特別是記憶體市場現在正在經歷為時不短的週期性價格低谷時期。但是市場開始分割細碎後,規模經濟的威力也會跟著降低。原先DRAM市場由3家大公司寡頭壟斷的局面也可能會因之改變。原先DRAM產業的進入壁壘主要是規模經濟以及先進製程相關的專利障礙。但是現在DRAM製程演進遲緩,兼之有許多小生態區開始出現,可以提供小公司的牛油與麵包,寡頭壟斷的市場生態有可能變化。這也許部分解釋SK海力士目前技術的想法。HBM4記憶體的堆疊部分仍然可能選擇統一的標準介面,在設計及生產上仍能大致維持規模經濟的効力;客製化的任務就侷限於底層的邏輯晶片。這樣的安排大致能維持規模經濟與客製化的均衡,獲取最大利益。只是產業的產品介面標準存在的前提,是所有產業中生產產品的公司以及產品使用者願意共同遵守。如果有些公司選擇專有介面,便無業界統一的介面標準。無論如何,這是2025年就應該會有答案的,而其結果將牽動DRAM產業的生態樣貌。
論AI的自由與約束
在人工智慧(AI)迅速發展的環境中,有關倫理問題以及可能限制其發展的辯論變得日益突出。透過密爾(John Stuart Mill, 1806~1873)的作品《論自由》(On Liberty),我們可以探索合理限制AI發展的觀點,強調在合理的範圍內,個體自由、進步和追求知識的重要性。密爾的《論自由》被嚴復翻譯為「群己權界論」,奠定理解社會控制和個人自由平衡的基礎。我試圖將密爾的哲學應用於當前AI發展的時代背景,以了解如何界定群己權。密爾主張自由開放的思想交流(Self-Development),或「思想市場」 (marketplace of ideas),是社會進步的重要因素。在AI發展的領域中,不受限制的資訊和創新概念流動促進多元觀點環境,有助於知識的成長。密爾強調個體自治(Individual Autonomy)。正如個體應該自由追求自己的興趣和自我發展,AI系統作為人類創造力的延伸,應賦予演進和適應的自主權,以改善人類生活並應對複雜社會挑戰。AI的發展改善人類生活,並增強應對複雜社會挑戰的能力。在此過程中,必須尊重使用者的個體權益,並促進社會和諧。密爾的傷害原則主張(The Harm Principle),限制個體自由的唯一理由是防止對他人造成傷害。密爾的哲學也告訴我們須負責任地謹慎開發AI系統,遵從道德準則並優先考慮人類福祉,讓其發展不會產生本質性的傷害。在AI算法的訓練中,如果基於不公正的資料集或缺乏多樣性而導致歧視性結果,則應限制這類不公平和歧視性的AI應用,確保考慮到社會的多樣性。然而,我們很難限制AI算法,避免其誤入歧途。 可行的方法是在AI晶片的設計中,直接加入艾西莫夫(Isaac Asimov, 1920~1992)的機器人三定律(Three Laws of Robotics),並在晶片製成過程中,將其直接寫入硬體。密爾警告多數的專制(The Tyranny of the Majority),強調壓制少數意見的危險。例如社交媒體平台的AI算法過度強調某一特定觀點,可能形成資訊泡泡(filter bubble),多數用戶僅看到和接觸到相似的意見和觀點。這樣的專制可能使得不同意見的少數群體的聲音被忽視,並進一步加劇社會的分裂和不理解。密爾倡導緊密連結社會進步與知識和創新 (Societal Progress and Innovation) 的追求。AI是人類巧思的體現,從醫療到教育等各個領域實現變革性的進步。然而,AI發展也必須有序權界,社會才會健康的前進。密爾的《論自由》為深入探討AI發展提供重要的倫理框架,強調擁抱個體自由、思想市場和知識追求的原則,是推動人類進步的核心價值。然而,在引導AI發展時,必須謹慎遵循負責任的道德原則。我們可以探索合理限制AI發展的觀點,引導未來AI的方向,確保其符合人類整體利益,並實現可持續、負責任且對人類有益的發展。
高頻寬記憶體風雲(二)記憶體業者的選擇
記憶體產業中個別企業,如何考慮增加HBM頻寬技術方向的選擇呢?SK海力士(SK Hynix)是首先量產HBM的廠家,也是目前HBM市佔率最大的廠家,約佔市場一半的份額,其動向有指標性意義。延伸報導名人講堂:高頻寬記憶體風雲(一)進程技術的分野2023年11月Korean Business報導SK海力士的HBM4將採取2.5D扇出型先進封裝技術,目的是要省卻矽通孔(Through Silicon Via;TSV)昂貴的費用,而且有更多的I/O方式選項。報導中解釋封裝做法是將2片個別的晶片封裝整合成1個,而且無需使用基板,堆疊後厚度會大幅降低。但是完全沒解釋如何將高達12~16層DRAM上下線路連通,而這原是TSV執行的功能。之後的報導都是這個報導的衍生物,未有新的訊息。SK海力士4月19日發布新聞,說與台積電簽訂合作生產下世代HBM的備忘錄。這個合作採用什麼先進封裝技術呢?備忘錄中也未說明,只在末了表示會優化SK海力士的HBM與台積電目前正在使用的CoWoS(Chip on Wafer on Substrate)技術的整合,以響應一般客戶對於HBM的需求。備忘錄中還有一個亮點,SK海力士計劃使用台積電的先進製程來製造前述HBM底層的邏輯晶粒,增加額外功能,以滿足顧客客製化的需求。這個做法以下將展開討論。事實上,SK海力士自己已研發過銅混合鍵合技術,結果也在2022年、2023年發表在學術期刊以及會議論文集(conference proceeding)。另外,SK海力士與英特爾(Intel)和NTT於1月底發布在日本的共同投資,其投資標的也是矽光子。新聞中特別提到記憶體晶片與邏輯晶片的連接,顯然針對的是HBM與CPU/GPU之間連接的應用。只是這投資計畫於2027年量產,對於HBM4的生產是稍為遲了一點。無論如何,SK海力士是做好了兩手準備。台積電早已宣布於2025年開始量產矽光子,雖然起始的客戶可能是其他客戶,但是2026年肯定能用於HBM相關的生產,如果技術的選擇是如此的話。綜合一下上述訊息,SK海力士對於HBM4的規劃大致在原先2.5D封裝或3D封裝之間,取得價格與效能的優化;較長遠的目標則是移往更快、更節能的矽光子。三星電子(Samsung Electronics)也早已驗證以銅混合鍵合16hi DRAM堆疉的HBM,結果也早發表於期刊和會議論文集。三星也在2023 OCP(Open Computing Project)Global Summit中,發表其對於矽光子的想法。前文中類似CoWoS的結構與現今的先進封裝結構相似,開發較容易。但是因為HBM與CPU/GPU底下都得加裝光/電轉換器,而且中介層需要以光通道替代,成本無疑會更高;而HBM置於封裝之外的做法是新嘗試,可能需要更多的發展努力,另外還要腦律散熱問題。無論如何,三星也是做好兩手、短中期準備。但是三星還有自己的邏輯設計、製造能力,包括CPU/GPU的設計和製造生產,它的利害與考慮不一定與SK海力士會一致。美光(Micron)在HBM上是後進者,目前正在急起直追,因此發表或公布的技術方案消息較少。最近的報導是它與其他廠商正在共同開發HBM4,技術方案目前沒有詳細內容,報導只說傾向於採取與南韓廠商不同的方案。HBM4量產預計在2026會先上12hi的,2027接著上16hi的,資料引腳數量會倍增到2,048。HBM4如果有業界共同標準,在2024、至遲2025就應該制定標準並公布,目前似乎離達到產業共識還有一段距離。因為在異質整合技術的採用上仍留有變動空間,而且此一技術選擇將影響記憶體次產業的面貌,甚至整個半導體生態區的重新配置。 
高頻寬記憶體風雲(一)進程技術的分野
高頻寬記憶體(High Bandwidth Memory;HBM)是具有高頻寬的圖形記憶體(Graphic Memory),其主要的功用是支援高效能運算(High Performance Computing;HPC)或人工智慧運算中與CPU/GPU聯合執行高速的平行運算。  HBM由數個DRAM堆疊而成,每個DRAM中又由許多容量較小的記憶體單元組成。大數量的小記憶體單元以高頻寬的I/O與多核的CPU/GPU相連接,當成平行算中使用的緩衝記憶體。 HBM的統一標準由JEDEC於2013年公布,2015年SK海力士(SK Hynix)率先開始量產。 以最近的產品HBM3E為例,其容量可達36GB,DRAM的層數為8~12層(8hi or 12hi)。最重要的,其資料引線(data pin)數目為1024,代表它可以同時提供1,024個數據平行儲存。為了實施如此高的資料引線,在堆疊DRAM與中介層(interposer)之間使用將近4,000個微凸塊(micro bump),而其間距(pitch)相當緊密—55微米,這已經接近微凸塊技術的密度極限。HBM在多層DRAM堆疊的底層中,還有一個邏輯製程的基底晶粒(base die)。DRAM層與層之間的信號由矽通孔(Through Silicon Via;TSV)連接。目前異質整合HBM與CPU/GPU使用的先進封裝技術為CoWoS (Chip-on-Wafer-on-Substrate),是2.5D先進封裝的一種。在此封裝中,HBM與CPU/GPU置於同一平面上。其下有一個中介層(interposer),HBM與CPU/GPU金屬墊(metal pad)中的信號透過與其黏著的微凸塊、由中介層內的連線(interconnect)送到另一邊的微凸塊上,這就是目前記憶體與邏輯晶片異質整合的工作架構。 當HBM要再進一步演化、擴大頻寬,預計其DRAM堆疊的層數將從原先的8~12層,再成長成12~16層。其數據引腳數則自1,024成長至2,048。所需要的微凸塊數目可能會超越以目前的封裝方式所能提供的。未來的HBM要與其協作的邏輯晶片會以何種方式異質整合,即為目前產業界看法有分歧的地方。  要提供更高的頻寬,目前看到的可能技術有2種:銅混合鍵合(copper-copper hybrid bonding)與矽光子(silicon photonics)。  銅混合鍵合的工作概念相當簡單,基本上是將2個分別製造的晶圓上重分布層(Reditribution Layer;RDL)面相對的黏貼在一起—金屬對金屬、氧化物對氧化物。這樣2個晶片之間的資訊傳遞就不必像傳統封裝的方式:先將一個晶片上的信號用與金屬墊(metal pad)連接的微凸塊引出,再用金屬連線將信號送到另一個晶片對應的微凸塊上。  銅混合鍵合大幅縮短信號傳送距離、降低相應功耗,也改善其他的物理性質譬如寄生電容(parasitic capacitance)以及電阻值。最重要的,它的金屬墊間距(metal pad pitch)可以降到10微米以下,最近的學術文章已開發出400微米的金屬墊間距。這個數據顯示用銅混合鍵合能提供比用微凸塊高1至2階秩的頻寬,對於HBM4的更高頻寬的需求顯然沒有問題,而且還有再進化的空間。  矽光子的基礎運作機制也很簡單:用光子來替代電子,成為傳遞資訊的主要載子。它的好處顯而易見:光子的速度比電子快100倍,而且光子在光纖中或光通道中傳導理論上不會發熱,不像電子在金屬中傳導一定會產生焦耳熱(joule heat)。這個事實的應用其實很早就開始實施了。資料庫之間、資料庫至家戸之間早就以光纖替代電纜,接下來的挑戰是在同一封裝中甚或同一晶片中使用光子傳導資訊此一機制,前者就是現在熱議的共同封裝光學元件(Co-Packaged Optics;CPO),而後者就是矽光子。  目前NPU、GPU元件都已進入CPO中試驗並取得成功。這是CPU/GPU與HBM的整合方案之一。實施矽光子的異質整合方法有2種。一種是沿用前述的2.5D先進封裝結構,將中介層的銅連線改變成矽光子的光通道。另外,由於利用光子來傳遞訊息,CPU/GPU與HBM兩頭都要裝上光/電的轉換元件。這個方法產業比較熟悉,但是成本較高。另一種方法是把HBM置於封裝之外,利用矽光子晶片線路與CPU/GPU連接。這個方法DRAM部分可以維持相當的獨立性,但是開發可能需要較長的時間。 相對的,銅混合鍵合在近年來已漸趨成熟。除了CIS(CMOS Image Sensor)早已派上用途外,像超微(AMD)將CPU與SRAM分別製造後,再用銅混合鍵合異質整合在一個3D先進封裝之中。這些都是此技術成功應用的範例。矽光子與銅混合鍵合就是現在產業界面臨的技術方向抉擇,這個抉擇的後果影響既深且遠。 
算力即國力,也是王道
數周前NVIDIA執行長黃仁勳在GTC 2024大會上發表新一代的GPU (B100/B200)。這B系列的GPU打破相當多紀錄,首先這GPU是由2顆獨立的晶片並排結合而成,採用台積電先進的4奈米N4P製程,而接合的方式是利用台積電CoWoS(chip on wafer on substrate)先進封裝技術。每一個晶片內涵1,080億個電晶體,這是首次單一晶片電晶體的數目超過1,000億顆,2顆加總共有2,160億顆。1980年代我們在唸半導體的時代,1個晶片所含電晶體的集成度,由SSI(small scale integration),到MSI、LSI以及最後的VLSI(very large scale integration)。VLSI所定義的單一晶片所含電晶體的數目,也不過是100萬顆。現代的科技將這個數字推進10萬倍。我們都知道GPU的算力跟電晶體的數目是直接相關,要增加電晶體的數目,一則是利用微影技術縮小電晶體的尺寸,另一則則是增大晶片的面積。就增大面積而言,在NVIDIA B系列前三代的GPU(H / A / V系列),晶片的面積就已經超過800平方釐米,將近3公分的平方。事實上這晶片面積,包括B系列在內,已經是12吋晶圓的極限,若繼續擴大晶片的面積,良率及在1片晶圓所能產生的晶片數目,都會受到很大的影響。在無法繼續增加晶片面積的限制下,將2顆晶片利用先進的封裝技術,緊密並排在一起,如同1顆大的晶片,將會是未來的常態。蘋果(Apple)M1 Ultra處理器,就是由2顆M1晶片並排組合而成。弔詭的是,這回B系列GPU使用的是台積電進階版N4P製程,與前一代H系的N4相比,根據台積電所公開的數據約是效能提升6%。然而,以單顆B系列的晶片為例,其電晶體的數目相較於H系列,增加約30% (1,080億顆 vs 800億顆)、功耗約略減少30%(500瓦 vs 700瓦),換言之,效能提升將近50%。除非NVIDIA在B系列的GPU設計架構上,做了重大突破,否則很難想像這50%的效能改善是從何而來?個人認為很大的改善在於,這2個晶片中的數據傳輸的損耗大幅下降。2個晶片中所傳輸的數據量是10TB/s,也就是每秒傳輸10的13次方的數據量,而M1 Ultra的數據量卻是2TB/s。緊密結合晶片中的數據傳輸所產生的功耗,是遠小於數據由晶片傳輸到印刷電路板上,再到另一個晶片上。兩者之間的功耗差距,除了距離長短之外,晶片與電路板間的阻抗不匹配,都會造成傳輸上的損耗。換言之,在不斷需要提升算力的同時,利用先進封裝將幾顆運算晶片,緊密地結合在一起,未來將會是一個關鍵。如同利用矽光子及CPO(co-package optics)技術,將資料中心的交換器,大幅地減少其功耗及增加傳輸數據,是相同的道理。算力除了跟晶片效能有很大的關係外,也跟計算機的架構有關。我們以人工智慧運算及量子運算為例,最古典的運算如附圖(A)所示。運算猶如一排車陣中,靠時序的控制(sequential control),一部車啟動後接著另一部,到最後一道指令,才完成整個車陣的紓解。然而在AI的運算中如附圖(B)所示,使用大量平行運算,1個GPU內部包含了數以千計的運算核心,因此算力遠大於古典的運算,但基本上仍存在時序的控制。量子運算就完全不同了,如附圖(C)所示,在並排的車陣中利用量子的糾纏(entanglement),就宛如一張網絡將所有的車子四面八方的圈住在一起,沒有時序的控制,一聲令下就全員移動,因此算力又遠大於AI,相較之下所耗損的功率卻少了很多。然而要產生量子糾纏,必須要在極嚴苛的環境下產生,如超低溫及超低雜訊,有太多不可控因素,所以時不時會有錯誤發生。個人淺見是,量子電腦很難成為一個商品化的產品,更談不上可靠度及品質管理系統。最有可能是大型的研究機構或大公司的研發部門,擁有台量子電腦,而且每售出1部量子電腦,原廠就得要有一組工程及技術人員進駐該單位。不可否認算力即國力,GPU/AI的算力在未來一段時間內,仍然會是主流。在算力不斷地被要求提升之下,晶片的功耗及訊號的傳輸量,會是瓶頸之所在。先進的封裝技術如CoWoS,將會是各國所關注的焦點。
等待AI果陀
2024年的台灣國際科展邀請我進行大師講座,分享AI「做中學」。生成式AI(generative AI)的出現,對人文及科學會造成不小影響,很多高中老師避免思考生成式AI對他們專業的影響。生成式AI開始侵襲某專業時,專業人士難免有抗拒之反應。過去對「專業」無條件的接受,似乎是台灣學校教育的普世價值,今日,這種執著很危險。當生成式AI輾壓人類的專業時,或許正是我們進行反思的最好時刻。專業知識的灌輸並不足以培育完整的個體。通過專業教育,雖然可以訓練一個人成為實用的工具,但不能保證他能成為一個和諧發展的人。培養學生對價值觀的理解和情感上的投入更為重要。他們需要具備對美和道德的敏銳辨識力,以建立更全面的個人發展。否則,即便擁有豐富的專業知識,可能只是像一隻經過良好訓練的狗。生成式AI最擅長學習專業,更容易勝過人類,被訓練成為比人類更厲害的機器狗。全盤接受「專業」不對,完全臣服於「生成式AI」也不妥。要避免被AI取代,必須超越專業訓練的思維,思考自己本業能更進一步創造的價值。這個價值是甚麼,會隨領域而不同,只能各自嘗試體會。貝克特(Samuel Beckett, 1906~1989)說: 「嘗試過、曾經失敗過, 沒關係。 再試一次、 再次失敗,我們會失敗得更好。」這是我們面對AI時代的狀況。必須「我無法繼續下去,但我會繼續。」貝克特是上世紀五十年代「荒謬劇場」的主要作家之一。「荒謬劇場」主要成員是歐洲劇作家,以荒謬小說戲劇而聞名,探討當人類失去存在目的時會發生什麼,展示從邏輯溝通到非理性和不合邏輯,最終導致沉默的過程。貝克特於1969年獲頒諾貝爾文學獎,表彰他以小說和戲劇的形式,在現代人的貧困中獲得卓越(in the destitution of modern man acquires its elevation)。貝克特的許多作品都聚焦於人類在生活中無法克服的荒謬情境,包括《墨菲》(Murphy;1938年)、《等待果陀》(Waiting for Godot;1953年)、《克拉普的最後錄音》(Krapp’s Last Tape ;1958年)和《幸福時光》(Happy Days ;1961年)。 這幾本書很值得年輕學子閱讀,從中尋找AI造成人類貧困時代(destitution)的生存之道(elevation)。年輕人可能迷惘於等待何種AI果陀,但千萬不要氣餒躺平。馬克吐溫如是說: 「 二十年後,你將會感到更多的失望。你會對於未做的事情感到遺憾,而不是對於你已經做過的事情。這意味著,要避免這種結果,你必須擺脫阻礙你的限制。遠離安全的港灣,投身於狂風肆虐之地。探索、夢想並勇往直前。」 在AI時代,必須如此。《等待果陀》的果陀是何物,貝克特沒揭曉答案,因此眾說紛紜。等待果陀,時光易逝。布朗寧(Robert Browning)說: 「和我一起變老!最好的尚未來到,……青年不過展現人生一半:相信上帝,看見所有,也不要懼怕!!」在AI時代讀此句子,更有感觸。我希望,年輕的學子終能找到他們的AI果陀。 
Jony Ive的設計思維
我曾經以iPhone為載具,發明一種具有位置感測功能的萬用無線遙控器。當你在房間中指向任何家電(如冷氣機)時,iPhone會自動成為該家電的遙控器。這一類的創意是基於智慧型手機的發展而來。智慧型手機已成為一個重要的硬體平台,可以發揮創意,特別是在拍照攝影功能方面,可以開發出許多創意應用,甚至改變人們的行為方式,被《生活》(Life)雜誌譽為Cameraphone Revolution(攝影手機革命)。而iPhone的成功,其中的一位功臣是艾夫(Jonathan Ive,習稱Jony Ive)。他是當時蘋果的首席設計師,在推動行動創意方面有關鍵性的影響力。艾夫的創新作品包括iPod、iMac、MacBook Air、iPhone和iPad。他對細節的狂熱關注超越表面的外觀。這些作品的關鍵特點在於使用的便利性和簡潔性。作為一個藝術設計師,艾夫與科技專家Steve Jobs密切合作,共同創造出具有藝術品味的科技產品。艾夫的標誌性設計象徵著當時處於低谷的蘋果(Apple)的復興和重返巔峰。在科技領域中,很少有設計具有如此大的影響力。艾夫的透明且豐富多彩、同時極簡潔的美學成為蘋果成功的關鍵所在。他為蘋果設計光滑且符合人體工學的產品,徹底改變科技設計,並為個人通訊設備建立新的美學標準。艾夫的設計方法受到Dieter Rams的影響。從美學和哲學的角度來看,Rams的設計原則貫穿艾夫的作品。艾夫於1992年加入蘋果,那時正是公司遭遇困難、幾乎面臨破產的時期。他對公司只專注於電腦科技感到擔憂,他說:「我曾擔心周旋於以電腦科技本位的事業部門,並涉足各種產品的廣泛領域會很困難。然而,出乎我的意料,這並未成為問題,因為我們設計的是包含許多不同組件的系統,包括耳機、遙控器、滑鼠、揚聲器和電腦。我喜歡在這些相對較新的產品類別中工作,專注於基礎的創新設計。即使只是參與一個產品的開發,也能立即改變整個產品類型和相關系統的歷史。」艾夫和蘋果的成功因素在於其設計工作室的運作方式,類似於一家外部設計公司,但只為蘋果這個單一客戶服務,並位於公司的核心位置。這個工作室的規模非常小而可控。儘管蘋果擁有數萬名員工,但艾夫的設計工作室只有約20名設計師和同樣數量的支援人員。最重要的是,艾夫的團隊有權將他們的設計實現為最終產品。艾夫的團隊主動參與產品的開發,而非僅僅被動設計。iMac和其他數十種產品並不是由蘋果的工程團隊主導,而是在艾夫的設計工作室中構思出來的。蘋果以前曾以工程為主導,但Jobs和艾夫改變這種思維,讓當時的蘋果非常重視設計。艾夫告訴《時代》(Times)雜誌:「物品和它們的製造是不可分割的。如果你了解一個產品是如何製造的,你就能在思考產品的外觀之前,了解它們的用途、工作原理以及應該使用什麼材料製造。這種工藝的概念正在復興。」艾夫與Jobs對此有著相同的看法,艾夫表示:「我清楚記得Jobs宣布,我們的目標不僅僅是賺錢,而是創造出偉大的產品。這種理念所做的決策與過去蘋果的決策截然不同。」Jobs和艾夫建立了一個強大的工作關係,這成為蘋果成功的核心,Jobs甚至稱艾夫為他在蘋果的「精神伴侶」。2012年,艾夫被英國皇室封爵。儘管獲得榮耀,艾夫強調:「我不喜歡獨自受到關注。設計、工程和製造這些產品需要大型團隊的努力。」台灣資通訊的學生往往搞不懂問題前就開始做專題。結果是浪費時間。我們應該牢記艾夫的話,在最初的設計下功夫: 「在設計過程的開始,一個小小的改變就能在最終產品中定義出完全不同的產品(A small change at the beginning of the design process defines an entirely different product at the end.)。」 
產業垂直整合要多深? (二)
智慧型手機問世後,產業又重啟考慮垂直整合的議題。 PC有較長的歷史,而且CPU寡頭壟斷企業的地位近乎無可撼動,電腦業者可以藉垂直整合主要半導體元件入價值鏈,差異化本身系統產品的機會並不大。 相對的,智慧型手機當時才開始起步,主要廠商藉其銷售量來支持其手機CPU的設計,用以差異化其產品的功能,如蘋果(Apple)、三星(Samsung Electronics)、華為等。垂直整合的考慮再度浮上檯面。 不過這次的價值鏈垂直整合只及於IC產品設計這一層,而半導體製造環節則選擇利用已逐漸發展成熟的代工平台。手機IC設計主要在CPU這一塊,CPU大幅度決定手機功能,是手機企業核心競爭力的重中之重。垂直整合止於IC設計此一環節,能避開半導體製造環節必須自已持續投入巨額研發費用的無底洞,這是手機系統業者最合宜的價值鏈垂直整合長度。 後來的汽車產業也有類似的企圖,特別是在電動車/自駕車的領域,包括Tesla、比亞迪、小米等企業。這些電動車的終極目標自然是自駕車,包括各級別的自駕晶片,譬如Tesla的FSD(Full Self-Driving)晶片及軟體,也許會更積極擴張至AI平台,譬如Tesla的Dojo晶片及超級電腦系統。能設計車用的核心晶片及其運作統統,似乎也可掌握未來汽車產業的核心競爭力。 但是汽車與其它電子系統有根本性的差別。雖然未來電動車/自駕車有時候被戲稱行動的電腦,但是它基本上它是人的載具,牽涉到人身安全,因而引發後續的法律、風險與保險等相關問題。人命牽涉到文化中最基本的價值問題,而各國在此方面的認知存有分歧,這些分歧也可能造成市場的碎片化。另外,這些價值的認知即便在同一國家中亦可能存有差異,也可能造成市場發展緩慢。 從這個問題出發,統一半導體元件標準也許是建立規模經濟的解決方案。雖然在統一標準的過程中由於各地法律和文化的差異會比較困難,但是在標準統一之後,在各地的法律修定、保險規章費率、系統環境支持等方面可以快速發展,這是擴大整體規模經濟的方式。也就是說,對於攸關安全的半導體零件以及人工智慧、運行平台制定統一的標準,而產品的差異化及競爭力則置於其他面向。目前已有幾個機構正在推動制定汽車半導體元件的標準,譬如國際半導體產業協會(SEMI)。這也許是Tesla在此階段就將FSD軟體公開授權的原因,目前已經有許多車廠宣布支持此一方案。Tesla在此階段始推動統一標準無疑是深思熟慮的結果:目前尚未達到需要大幅牽動法律修改的自駕程度,此時先推動標準的統一,阻力會少很多,最多只是商業考量,而非汽車企業較難著力的修法程序。等到統一標準形成、變成既成事實後,修訂法律也會比較容易進行。而Tesla Dojo晶片和系統,也專注於影像識別的AI,這是自駕車系統最基礎的平台功能之一。 有些汽車企業甚至垂直整合入晶圓製造廠的環節,譬如博世(Bosch)和比亞迪。這會重蹈以前系統廠商與半導體廠終歸分離的覆輒嗎?不一定。 這幾家的晶圓廠都是以功率元件為主要產品,包括 power MOSFET、IGBT和SiC等。功率元件的獲利方程式與前述典型的藉持續投入研發、快速推進製程以獲取超額利潤的手段不一樣。這不是說功率元件不需要研發,只是比較集中在元件的結構與材料,而呈現的結果主要是耐壓、電的性能和可靠性的提升。  功率元件的製造主要在8吋廠,製程也還停留在0.20~0.25微米以上,這是因為要耐高壓、電需要較寬的線幅,提高元件性能只能靠元件結構和材料。以IGBT為例,從1980年代出現迄今,總共也只經歷7個世代。它的演進遠較邏輯和記憶體產品緩慢,研發經費可以在較多年限攤提。 在功率元件的領域,研發的規模經濟門檻較低,這也解釋在此領域還存有大小不等的IDM公司的原因。 汽車企業垂直整合功率元件半導體製造廠在,短期間內可以緩解過去幾年在車規功率半導體供需不平衡的問題。但是長期來看,汽車的核心價值會往AI與網路傾斜,能源的變換會變成標配,這個垂直整合是否合理還有待觀察。 另一個有趣的議題是有些IC設計公司宣稱他們是系統公司—另外的其實只是不做聲響、悄悄在做而已,在異質整合成為技術演進主流的年代,這個趨勢幾乎無可避免。電子系統的核心功能將被整合在單一的先進封裝內。電子系統產業與半導體產業如何在長價值鏈中分工或融合,這是企業該開始問一問自己的議題。
產業垂直整合要多深? (一)
一個產業的加值鏈通常包含多個加值節點,一個產品/服務的最後價值,即是這些個別加值的總合。傳統的企業競爭策略理論會告訴你一個企業能夠整合進比較多的主要加值環節、成為企業核心能力的一部分,企業的競爭力會比較強。而且,在面臨產業加值鏈變遷時,企業比較有韌性,更能爭取時間以及資源去因應變遷。  半導體自成一個產業,2023年產值到達約6,000億美元,與PC、手機、伺服器等電子系統產業是同一個數量級的產值;另一方面,半導體又是各電子系統產品產業加值鏈的一環。於是電子系統與半導體的垂直整合,便反覆地成為產業內的策略考慮之一。  70年代主要的美國半導體業者是英特爾(Intel)、德儀(TI)和摩托羅拉(Motorola),其中TI有消費性產品,也有政府契約的產品;摩托羅拉是通訊公司。當時系統公司投入半導體產業有兩主要目的:1.投入新興的關鍵科技;2.公司核心能力的垂直整合。這兩個主旋律在產業內重複出現。  80年代日本主要的半導體公司如NEC、東芝(Toshiba)、日立(Hitachi)、富士通(Fujitsu)、三菱(Mitsubishi)等,以及南韓的三星(Samsung Electronics)、現代(Hyundai)和Gold Star,其背後無不有電子系統公司的身影。倒是台灣80、90年代的主要半導體公司絕大部分都是單從投資新興關鍵科技的觀點出發,這對於後來的發展影響巨大。  垂直整合當然不是企業在產業內競爭的唯一致勝手段,如規模經濟等也會影響競爭的結果。  半導體產業是高科技產業,獲得超額利潤的主要手段,是藉先於同儕利用尖端技術推出性能更優越的產品,而這種型態的競爭是持續的。持續的技術研發需要巨大資金不間斷的投入,商業機構的資金自然是來自於營業利潤的累積,營業額的規模大致決定能投入持續研發經費的尺度。在愈接近物理尺度極限時的研發工作變得更為複雜艱難,此時規模經濟的因素就變得格外顯著。  對於此規模經濟考量的因素下,80、90年代最大的次產業DRAM以及邏輯晶片分別演化出不同的樣態,以取得在規模經濟考量下的最適應模式。  DRAM次產業採取在產品介面標準化策略,促進規模經濟的發生—DRAM變成大宗商品(commodity)。大宗商品在流通、用量上較諸專用商品上有天然的數量優勢,進一步確立規模經濟。  如果半導體產品與電子系統廠商垂直整合,半導體產品的銷售原先有競業的問題—很難想像,譬如,三星手機設計的CPU晶片蘋果(Apple)願意使用。但是因為DRAM介面採用統一標準,競業因素變得不重要,因此半導體廠產品的總體潛在市場(TAM)擴大了。如此也有負面效果。DRAM產品介面標準化後,原先在系統價值鏈垂直整合的綜效就被打了折扣:大宗商品可從市場中擇優取得。  另外,領先的DRAM公司還利用DRAM與2D NAND Flash製程的相似性,跳躍性的擴大記憶體製程的研發規模經濟,一次性的拉開與記憶體產業中第二梯隊的差距,形成今日記憶體產業三足鼎立的態勢。  邏輯產品品類比較分散,過去主要產品CPU處於寡佔狀態,近乎虛擬的統一標準;其他產品次市場的份額較小,即便統一產品介面標準也難以形成有效的規模經濟。所以邏輯產品採取不同的途徑來取得規模經濟:共用相同或相容的製程平台,這就是代工次產業概念的濫殤。  記憶體產業以統一的產品介面標準,以及代工產業以共用的製程平台,形成各自規模經濟,也反轉電子系統產業垂直整合半導體的原先企圖。
智慧城鄉應用永續經營三關鍵
新竹縣政府主辦一場「智慧城鄉論壇」,我受邀擔任下半場綜合討論的與談人。題目為「以公私合作推動智慧城鄉發展」,由行政處長周秋堯及都市發展與環境教育基金會榮譽會長林建元共同擔任主持人,分享智慧城市發展前景與趨勢,及新竹縣面臨的機會與挑戰。會場聽眾詢問,新竹縣發展智慧城鄉的策略為何? 對於智慧城鄉應用的永續經營,我認為應該考慮3個問題:首先,要清楚區分必要與非必要(Must-have or Nice-to-have)。將智慧城市應用區分為「必備」或「可有可無」反映它們對日常生活產生影響的不同程度。在某些情況下,這些應用的存在或缺乏可能不會顯著改變城市居民的日常體驗。然而,必須承認這些應用的成功或失敗取決於它們的功能性以及它們是否能夠融入城市結構。以智慧家庭應用而言,電動窗簾大家都感新奇。但要花大錢建置,可能就無人問津。因此這是一個「可有可無」的應用,而非「必備」的應用。第二,需分析維運成本(CAPEX vs OPEX)。很多應用勉強建置,無力維護。智慧城市應用的失敗案例包括未能滿足期望或缺乏必要基礎設施的情況。此類缺陷可能包括使用者界面不足、數據處理效率低下或連接性不足。這些挫折強調在部署智慧城市解決方案之前,進行健全規劃和充分測試的重要性。台灣的失敗案例不勝枚舉,一個成功案例是YouBike,很務實地在許多台灣的城鎮提供服務。在中國大陸,很多類似YouBike的服務太過花俏,OPEX太高而失敗。第三,要能夠選擇有用數據(To data or not to data)。不知如何使用數據,就不會有智慧城鄉。我提出智慧農業的成功案例,是我們幫蘭花工廠進行非影像蘭花黑頭偵測的應用。城市最應該運用的數據是交通數據。而智慧路燈是最好的載具,應在現有的路燈掛上智慧平台,而非取代現有路燈系統。在台灣,許多智慧城市應用的存在與否並未對居民的日常生活產生顯著影響,他們的生活維持著往常的方式。而很多「必備」的智慧城市應用都是因為政府法規要求。在衛福部一個顯著而成功的案例是在醫療應用中推廣快速醫療互通資源(FHIR)。FHIR已成為醫療保健領域的一股變革力量,促進電子健康記錄的安全交流,並在各種醫療系統之間促進互操作性。其成功是對設計良好且有效實施的智慧城市應用在關鍵領域(尤其是醫療保健)中可能產生積極影響的明證。智慧城市應用失敗的主要原因是將簡單的問題複雜化,同時缺乏解決問題的實際行動力。很務實的解決上述3個問題,智慧城市有永續經營的機會。我給的答案,應該都適用於所有城市。