智慧應用 影音
EVmember
ST Microsite
林育中
  • DIGITIMES顧問
現為DIGITIMES顧問,1988年獲物理學博士學位,任教於中央大學,後轉往科技產業發展。曾任茂德科技董事及副總、普天茂德科技總經理、康帝科技總經理等職位。曾於 Taiwan Semicon 任諮詢委員,主持黃光論壇。2001~2002 獲選為台灣半導體產業協會監事、監事長。
產業垂直整合要多深? (二)
智慧型手機問世後,產業又重啟考慮垂直整合的議題。 PC有較長的歷史,而且CPU寡頭壟斷企業的地位近乎無可撼動,電腦業者可以藉垂直整合主要半導體元件入價值鏈,差異化本身系統產品的機會並不大。 相對的,智慧型手機當時才開始起步,主要廠商藉其銷售量來支持其手機CPU的設計,用以差異化其產品的功能,如蘋果(Apple)、三星(Samsung Electronics)、華為等。垂直整合的考慮再度浮上檯面。 不過這次的價值鏈垂直整合只及於IC產品設計這一層,而半導體製造環節則選擇利用已逐漸發展成熟的代工平台。手機IC設計主要在CPU這一塊,CPU大幅度決定手機功能,是手機企業核心競爭力的重中之重。垂直整合止於IC設計此一環節,能避開半導體製造環節必須自已持續投入巨額研發費用的無底洞,這是手機系統業者最合宜的價值鏈垂直整合長度。 後來的汽車產業也有類似的企圖,特別是在電動車/自駕車的領域,包括Tesla、比亞迪、小米等企業。這些電動車的終極目標自然是自駕車,包括各級別的自駕晶片,譬如Tesla的FSD(Full Self-Driving)晶片及軟體,也許會更積極擴張至AI平台,譬如Tesla的Dojo晶片及超級電腦系統。能設計車用的核心晶片及其運作統統,似乎也可掌握未來汽車產業的核心競爭力。 但是汽車與其它電子系統有根本性的差別。雖然未來電動車/自駕車有時候被戲稱行動的電腦,但是它基本上它是人的載具,牽涉到人身安全,因而引發後續的法律、風險與保險等相關問題。人命牽涉到文化中最基本的價值問題,而各國在此方面的認知存有分歧,這些分歧也可能造成市場的碎片化。另外,這些價值的認知即便在同一國家中亦可能存有差異,也可能造成市場發展緩慢。 從這個問題出發,統一半導體元件標準也許是建立規模經濟的解決方案。雖然在統一標準的過程中由於各地法律和文化的差異會比較困難,但是在標準統一之後,在各地的法律修定、保險規章費率、系統環境支持等方面可以快速發展,這是擴大整體規模經濟的方式。也就是說,對於攸關安全的半導體零件以及人工智慧、運行平台制定統一的標準,而產品的差異化及競爭力則置於其他面向。目前已有幾個機構正在推動制定汽車半導體元件的標準,譬如國際半導體產業協會(SEMI)。這也許是Tesla在此階段就將FSD軟體公開授權的原因,目前已經有許多車廠宣布支持此一方案。Tesla在此階段始推動統一標準無疑是深思熟慮的結果:目前尚未達到需要大幅牽動法律修改的自駕程度,此時先推動標準的統一,阻力會少很多,最多只是商業考量,而非汽車企業較難著力的修法程序。等到統一標準形成、變成既成事實後,修訂法律也會比較容易進行。而Tesla Dojo晶片和系統,也專注於影像識別的AI,這是自駕車系統最基礎的平台功能之一。 有些汽車企業甚至垂直整合入晶圓製造廠的環節,譬如博世(Bosch)和比亞迪。這會重蹈以前系統廠商與半導體廠終歸分離的覆輒嗎?不一定。 這幾家的晶圓廠都是以功率元件為主要產品,包括 power MOSFET、IGBT和SiC等。功率元件的獲利方程式與前述典型的藉持續投入研發、快速推進製程以獲取超額利潤的手段不一樣。這不是說功率元件不需要研發,只是比較集中在元件的結構與材料,而呈現的結果主要是耐壓、電的性能和可靠性的提升。  功率元件的製造主要在8吋廠,製程也還停留在0.20~0.25微米以上,這是因為要耐高壓、電需要較寬的線幅,提高元件性能只能靠元件結構和材料。以IGBT為例,從1980年代出現迄今,總共也只經歷7個世代。它的演進遠較邏輯和記憶體產品緩慢,研發經費可以在較多年限攤提。 在功率元件的領域,研發的規模經濟門檻較低,這也解釋在此領域還存有大小不等的IDM公司的原因。 汽車企業垂直整合功率元件半導體製造廠在,短期間內可以緩解過去幾年在車規功率半導體供需不平衡的問題。但是長期來看,汽車的核心價值會往AI與網路傾斜,能源的變換會變成標配,這個垂直整合是否合理還有待觀察。 另一個有趣的議題是有些IC設計公司宣稱他們是系統公司—另外的其實只是不做聲響、悄悄在做而已,在異質整合成為技術演進主流的年代,這個趨勢幾乎無可避免。電子系統的核心功能將被整合在單一的先進封裝內。電子系統產業與半導體產業如何在長價值鏈中分工或融合,這是企業該開始問一問自己的議題。
2024/4/9
產業垂直整合要多深? (一)
一個產業的加值鏈通常包含多個加值節點,一個產品/服務的最後價值,即是這些個別加值的總合。傳統的企業競爭策略理論會告訴你一個企業能夠整合進比較多的主要加值環節、成為企業核心能力的一部分,企業的競爭力會比較強。而且,在面臨產業加值鏈變遷時,企業比較有韌性,更能爭取時間以及資源去因應變遷。  半導體自成一個產業,2023年產值到達約6,000億美元,與PC、手機、伺服器等電子系統產業是同一個數量級的產值;另一方面,半導體又是各電子系統產品產業加值鏈的一環。於是電子系統與半導體的垂直整合,便反覆地成為產業內的策略考慮之一。  70年代主要的美國半導體業者是英特爾(Intel)、德儀(TI)和摩托羅拉(Motorola),其中TI有消費性產品,也有政府契約的產品;摩托羅拉是通訊公司。當時系統公司投入半導體產業有兩主要目的:1.投入新興的關鍵科技;2.公司核心能力的垂直整合。這兩個主旋律在產業內重複出現。  80年代日本主要的半導體公司如NEC、東芝(Toshiba)、日立(Hitachi)、富士通(Fujitsu)、三菱(Mitsubishi)等,以及南韓的三星(Samsung Electronics)、現代(Hyundai)和Gold Star,其背後無不有電子系統公司的身影。倒是台灣80、90年代的主要半導體公司絕大部分都是單從投資新興關鍵科技的觀點出發,這對於後來的發展影響巨大。  垂直整合當然不是企業在產業內競爭的唯一致勝手段,如規模經濟等也會影響競爭的結果。  半導體產業是高科技產業,獲得超額利潤的主要手段,是藉先於同儕利用尖端技術推出性能更優越的產品,而這種型態的競爭是持續的。持續的技術研發需要巨大資金不間斷的投入,商業機構的資金自然是來自於營業利潤的累積,營業額的規模大致決定能投入持續研發經費的尺度。在愈接近物理尺度極限時的研發工作變得更為複雜艱難,此時規模經濟的因素就變得格外顯著。  對於此規模經濟考量的因素下,80、90年代最大的次產業DRAM以及邏輯晶片分別演化出不同的樣態,以取得在規模經濟考量下的最適應模式。  DRAM次產業採取在產品介面標準化策略,促進規模經濟的發生—DRAM變成大宗商品(commodity)。大宗商品在流通、用量上較諸專用商品上有天然的數量優勢,進一步確立規模經濟。  如果半導體產品與電子系統廠商垂直整合,半導體產品的銷售原先有競業的問題—很難想像,譬如,三星手機設計的CPU晶片蘋果(Apple)願意使用。但是因為DRAM介面採用統一標準,競業因素變得不重要,因此半導體廠產品的總體潛在市場(TAM)擴大了。如此也有負面效果。DRAM產品介面標準化後,原先在系統價值鏈垂直整合的綜效就被打了折扣:大宗商品可從市場中擇優取得。  另外,領先的DRAM公司還利用DRAM與2D NAND Flash製程的相似性,跳躍性的擴大記憶體製程的研發規模經濟,一次性的拉開與記憶體產業中第二梯隊的差距,形成今日記憶體產業三足鼎立的態勢。  邏輯產品品類比較分散,過去主要產品CPU處於寡佔狀態,近乎虛擬的統一標準;其他產品次市場的份額較小,即便統一產品介面標準也難以形成有效的規模經濟。所以邏輯產品採取不同的途徑來取得規模經濟:共用相同或相容的製程平台,這就是代工次產業概念的濫殤。  記憶體產業以統一的產品介面標準,以及代工產業以共用的製程平台,形成各自規模經濟,也反轉電子系統產業垂直整合半導體的原先企圖。
2024/4/3
核融合電能何時能商業運轉? (二) —產業的進程
核融合反應爐的研發起始於50年代。相較於英國在1956年已經開始商業運轉的核分裂反應爐是晚了不少。  早期核融合反應爐的最大問題在於電漿的約束:哪種機制可以約束住溫度高到幾乎可以融毀一切物質的電漿? 延伸報導名人講堂:核融合電能何時能商業運轉? (一)—核融合反應爐的工程挑戰當時的核融合是當成基礎科學議題來研究的。核融合反應爐何時可以商業運轉發電?這個問題在上世紀的標準回答都是30年後—意思是還早著呢,一次一次接著跳票。  最近的氛圍已有顯著變化,近年來市場資金總計投入近50億美金用於核融合反應爐的研發,目前以此為主題的新創已接近40餘家。  近年來最令人振奮的消息之一,是2022年12月5日美國勞倫斯利佛摩國家實驗室(Lawrence Livermore National Lab;LLNA)與國家點火設施(National Ignition Facility;NIF)合作的核融合反應有淨能量收益(net energy gain)。  此次實驗採用的約束機制為ICF,共192管紅寶石雷射以圓對稱射向置於圓心的原料顆粒(pellet)均勻加溫。投入的雷射能量為2.05百萬焦耳(MegaJoules;MJ),產出的核融合能量為3.15MJ,能量增益係數Q=3.15/2.05>1.5,核融合反應本身的確能釋放出能量!這是個里程碑式的實驗。  負責任的媒體還會加注其實那2.05MJ是由300MJ的電能產生的,遑論若依傳統能量轉換途徑,核融合能得先轉換成熱能、熱能再轉換成電能,轉換成電能的效率還得打一個大折扣。若真能成為發電設施,不只是反應爐,整個系統要有淨能量增益。這樣算來,粗估的核融合反應爐的淨能量增益至少要Q>10才能涵蓋系統中其他的能量消耗。商用系統還有一段路要走。 無論如何,原來是基礎科研的問題轉變成工程問題。工程問題可以分而治之(divide and conquer),研發速度因而加快。譬如LLNL與NIF的計畫中的紅寶石雷射若換成二極體雷射,能源輸出效率可以提升30倍,這樣就是穩穩向前邁一步。  另一個促使進展加快的因素是新創的投入。這些新創與公共機構形成夥伴關係(public-private partnership),專注於一些特殊核融合反應爐發電的機構、機制或原料等技術,可以基於公共機構較周延的基礎科研結果,快速進入商業運轉階段。  當商業資金開始投入一個新技術時,由獲利動機驅動的研發顯示加速進展的可能。最近一個例子是量子電腦的發展。  IBM在發展出第一代、第二代量子電腦時,預計的量子算力是以每年倍增的指數成長,這已是比摩爾定律—每18個月倍增—更積極的技術路標。發展迄今其實現狀比這技術路標快多了!  另外一個看起來比較不顯著,實質上很重要者,是機器學習已經投入核融核反應爐的研發,最主要的兩個領域是在材料開發和反應爐結構,以及核融合反應參數的優化。  所以,核融合反應爐何時可以開始商業化?最樂觀的是2030年初期,這個日期出現在一些新創公司網頁和新聞。保守些的呢,有生之年。但是這不是以前談的30年後,因為持這樣主張的人也同時談2050年的碳淨零排放,核融合反應爐發電不再是遙遙無期的。 (作者為DIGITIMES顧問) 
2024/3/11
核融合電能何時能商業運轉? (一)—核融合反應爐的工程挑戰
 原子是以原子核中的帶正電質子的數目來決定原子序的。原子核中除了質子外,還有數量大致相仿的中子,這些質子與中子以強作用力(strong interaction)束縛在一起,這就是核結合能(nuclear binding energy)。  核結合能的物理基礎強作用力,在短距離內比化學作用的物理基礎電磁作用強100倍,因此核反應的能量遠大於化學作用的能量。  鐵(原子序26)的同位素群與鎳(原子序28)是元素中平均核結合能最高的,也就是最穩定的元素。以鐵同位素群為例,核結合能可以高達8.8百萬電子伏特(MeV)。物理驅使物質轉變成較穩定的結構,所以原子序比鐵高的原子就會透過核分裂(nuclear fission)轉變成較小的原子;而分子序較小的原子則傾向透過核融合(nuclear fusion)轉變成原子序較高的原子。前者已應用於現今的核能發電,而後者就是目前全世界研發開始升溫的核融合發電。  核融合為什麼比核分裂更具吸引力呢?第一個原因是核融合的過程及其廢料有較低的幅射性。第二個原因是如果核融合反應爐無法正常運作,它不會如核分裂反應爐因連鎖反應(chain reaction),導致核反應爐融毀(nuclear reactor meltdown)而近乎無法收拾。核融合反應爐無法正常運作時,核融合反應停了就停了。另外還有個原因是核融合反應的原料,近乎取之不竭、用之不盡。  最常使用的核融合反應的原料是氘(Deuterium)和氚(Tritium),二者都是氫的同位素,也就是說和氫原子一樣,每個原子核都含有一個質子,但是氘和氚的原子核還分別具有1個和2個中子。使用氘和氚當成核融合反應原料的原因是它的散射截面(scattering cross section)—也就是核融合反應發生的機率最大,所釋出的能量最多,高達17.6MeV。  氘在自然中穩定存在,可以從海水中提取。但是氚具有放射性,而且半衰期很短,只有12.3年,自然界中只存有30~40kg,所以核融合反應爐必須在反應的過程中自己產生足夠的氚,以維持連續的核融合反應。這是核融合反應爐設計時必須考慮的因素之一。  核融合反應時需要較高的溫度,氘和氚在此環境下以離子的形態存在,也就是氘和氚中的原子核和電子是分離的,這就是電漿態(plasma)。氘離子和氚離子都帶有一個正電荷,它們之間存有庫侖排斥力。這就解釋為什麼氘和氚被選為核融合反應原料的原因:其排斥力最小,但是原子核較大,較容易碰撞,而且碰撞機率高。  要克服電磁互斥力讓氘離子和氚離子進行核融合反應必須符合一定的條件。基本上要離子的密度、溫度和其能量約束時間(energy confinement time)的乘積大於一定數值,這是核融合反應爐能維持穩定運作的條件,術語叫「點火」(ignition)。  能持續維持核融合反應的溫度大概在10~20keV之間,約等於8,000萬度到1.6億度之間,這比太陽核心的溫度還高。要維持這樣高的溫度,以及高的離子密度,必須把離子束縛在一個有限的空間中,這就是核融合最核心的工程問題之一:約束(confinement)。約束的方法比較多的是用磁場(Magnetic Confinement Fusion;MCF)來約束離子的行徑;另一個是靠慣性(Inertial Confinement Fusion;ICF),利用震波(shock wave)來壓縮及點燃離子;還有二者的混合形態MTF(Magnetized Target Fusion)。為了提高磁場,高溫超導(High Temperature Superconducting;HTS)膠帶被用於磁約束核融合反應爐上。 由於離子的集體形態電漿比較接近液體,而處於特殊狀況的液體會產生較為激烈的行徑,譬如擾流(turbulence)。離子的穩定性一直是核融合反應爐的一個工程挑戰。  氘離子和氚離子反應後產生氦離子(即是阿爾法粒子)和中子,其中氦離子擕帶核融合約5分之1能量,之後轉移能量讓原料能維持在高溫、可以持續核融合反應。但是氦離子得想法排掉,避免影響後續核融合反應的發生。  中子以動能的形式攜帶約5分之4的核融合能量,這是核融合反應爐產生能源的主要形態。中子不帶電,不受磁場束縛,會四向逃逸。想利用它的動能轉化成一般渦輪機可以使用的能量,得用防護牆先攔著,將其轉化成熱能。  另外由於前述的原因,氚必須在核融合反應爐中自己產生,防護牆上得覆蓋含鋰元素的繁殖氈(breeding blanket)。當中子撞擊到鋰時,會產生氚。中子在整個核融合過程中可能會消耗、流失掉一部分,繁殖氈上還必須加入鈹或鉛元素。當中子撞擊到這些元素之後,會產生2個中子,這樣中子的數目就得以增加,讓核融合反應爐中的氚得以持續補充,維持反應爐的持續運作。  這大概就是主流的氘-氚磁約束核融合反應爐所需面臨的主要工程挑戰。  
2024/3/4
日本半導體產業的文藝復興之路(二)
日本政府引進國外半導體業者投資的效果相當顯著,幾乎國際間各大半導體製造公司都報到了。另外本土公司聯盟組成的公司Rapidus也將目標置於尖端製程的開發。  這些晶圓廠的興建對日本半導體的貢獻,剛開始時比較是稍為間接的。在恢復產業生態、擴大機器設備、材料內需市場、以及支持日本IC設計公司方面等都當然有幫助,但是對於自有的先進製程研發卻得看Rapidus的表現。 延伸報導名人講堂:日本半導體產業的文藝復興之路(一)Rapidus一開始就瞄準2奈米nanosheet GAAFET(Gate All Around FET)的最先進製程,和IBM與IMEC合作,預計在2027年左右量產。1.5/1奈米需要不同的電晶體結構,將和LETI(Laboratoire d’Electronique des Technologies de l’Information)合作,用2維材料過渡金屬二硫屬化合物(Transition Metal Dichalcogenides;TMD)當成電晶體中通道(channel)材料。  Rapidus面臨的挑戰之一是參與製程研發的各方皆無量產經驗。IBM最後的量產晶圓廠賣給格羅方德(GlobalFoundries)是2015年,其他各方要不是新創,要不就是實驗室類型的研發機構,要走向量產註定要多花一些工夫。  然而,Rapidus最大的挑戰是有無辦法快速地建立規模經濟(economy of scale)。先進製程的研發極其昂貴,代工廠其實是以用IC設計公司客戶資金實施眾籌,進行下世代製程研發。因而到14奈米以下,全球市佔不足的公司紛紛停止先進製程的競逐。  對於2奈米以下的先進製程的開發尤為如此。2奈米是nanosheet GAAFET,1.5/1 奈米是2D通道電晶體,1 奈米以下可能是CFET(complementary FET)。幾個世代間的電晶體的結構、材料、製程都是翻天覆地的大變化,若無足夠大的市佔便無法產生足夠的盈餘,無力推動下世代製程的開發。即使湊了開發費用,沒有足夠的市佔也無法回收,遑論建立代工生產所需要的諸多生態環境如設計服務、先進封裝等。  先進晶圓廠的建立無疑的會提高日本機器設備廠商的市佔率,有些晶圓廠已經設立日本國內設備採購佔比的目標。  這個因素影響相對比較輕微,重要的是日本在黃光這一大區塊是否能重新啟動。2023年Cannon推出奈米壓印(Nano Imprint Lithography;NIL),解析度可以達到5奈米,預計2025年會先在NAND Flash的製造中使用,但是預計無法完全取代EUV。要打入DRAM及邏輯線路的市場還要在對準(overlay)以及粒子(particle)問題上下工夫改善。 延伸報導名人講堂:奈米壓印的初始應用 (一):技術與挑戰另外一個領域是日本有機會得分較多的是先進封裝設備。日本的先進封裝設備相對領先,而製程持續演進、先進封裝、新材料是現代半導體經濟增值的三大支柱。先進封裝市場的自然擴增—譬如現在當紅的生成式AI(generative AI)就一定要使用先進封裝—自然提升在此領域的優勢廠商的市佔表現。  最後是材料。日本於此部分環節猶仍如日中天,卻有危機隱然浮現。明處的是來自於中國的威脅,中國的材料基礎科研發表論文佔全世界約14.5%,比美國多1倍,而中國目前正在進行材料、設備的自主化。這一定會影響到日本,只是時間早晚的問題。  更深層的理由是材料的合成與製造正在經歷典範轉移。第一原理計算(first principles calculation)、AI與量子計算等用計算的方式正在逐漸顛覆傳統的合試誤方式,工藝精神的優勢正在逐漸弱化。雖然日本於先進計算並不落後,譬如富士通(Fujutsu)用數位退火(digital annealer)來輔助材料開發仍然領先全球,但是產業的典範移轉就意味著變動的可能性。  先進半導體材料的應用考慮與傳統材料有些差異:材料介面性質到與塊材(bulk)性質至少一樣重要,而且很多材料的使用是依賴半導體製程設備。  日本的材料研發比較願意做長期部署,而半導體廠商求的多是短期內有機會進入應用的材料候選人,這二者密切的結合,會深度地互相嘉惠對方。 先進晶圓廠在日本開始發展的新聞中最令我有感的是台積電與三星電子(Samsung Electronics)都在日本設立材料實驗室,這可能是對日本材料產業最大的立即助益,而且助益是互相的。
2024/2/5
日本半導體產業的文藝復興之路(一)
當我進入DRAM產業時,那時最負盛名的半導體產業分析報告Dataquest,列出DRAM產業廠商排行:90年代下半,三星電子(Samsung Electronics)已然出頭,NEC和東芝(Toshiba)還分居二、三,前十名中尚有其他日本廠商。這看似猶為優裕的景況,僅僅已是日本半導體產業的落日餘暉。  再往前10年,日本半導體正當是花團錦簇、油烹鼎沸時分,半導體產品佔據全世界50%的市場,機器設備廠商自晶圓製造到封測都是獨佔鰲頭,材料更是處於宰制地位。整個半導體供應鏈,除了80年代末期才開始萌芽的電子設計自動化(Electronic Design Automation;EDA)之外,幾乎是完整而且佔有絕大優勢的。  經過20幾年的凋零,現在日本的半導體產業景況又是如何呢?簡單的講,可以用1、3、5這3個數位一言以蔽之。1是指半導體生產佔全世界市場的百分比近10%、3是指半導體製造機器設備約佔30%、5是指半導體材料約佔50%。  這個1、3、5看起來貧脊嗎?一點也不會。10%是什麼概念?高的如南韓,近20%;日本與歐盟並列,近10%;再次是台灣、中國。  日本半導體製造雖然不能與全盛時期相比,但是在有些特殊領域如功率器件、車用半導體等尚有一席之地。欠缺的只是先進製程技術及產能,這也是日本政府及產業界努力推動的方向。 另外,日本的IC設計公司也嫌不足。90年代後,日本從DRAM產業轉向系統IC後,發現設計人力不足的問題。一家大的DRAM公司基本上只需要幾個IC設計團隊就已經足以滿足產能需求,因為DRAM是標準產品,而且市場規模大;系統IC的樣態較多,市場比較分散,需要更多的IC設計團隊。目前日本的IC設計次產業仍然嫌單薄。 機器設備的市佔率自然也不如前,主要失去的市場自然是黃光設備,這是90年代DARPA發展出EUV技術原型後技術移轉對象選擇的結果,先進製程黃光設備市佔的流失是必然趨勢。日本在黃光之外還丟失了一些市場,譬如蝕刻設備。總體而言,日本的半導體製造機器設備仍然有顯著的份量。  日本半導體材料仍然維持著市場主宰的地位。這要歸功於過去化合物的發現和合成有點匠人工藝(craftsmanship)的味道—經驗不是單以銳意進取的作為就可以替代的。像味素(Ajinomoto)能從一家調味品公司擴張到半導體材料,靠的當然不是其原來所缺少的半導體的領域知識,而是對化合物的發現與合成的匠人工藝精神。  日本半導體產業其實並未經歷猶如中世紀的黑暗期,講文藝復興是有些言重了。  眼下日本政府全力引進的各國投資有效嗎?會改變哪些現況呢? 
2024/1/29
晶創台灣方案評論 (二)
第二項技術議題是「加速產業創新所需異質整合及先進技術」,這個很明顯是對上述生成式人工智慧(generative AI)晶片及應用的支援項目。 延伸報導名人講堂:晶創台灣方案評論 (一)異質整合(heterogeneous integration)是將用不同製程、材料製作的晶片透過先進封裝整合在一起,提升系統功能與表現效能。目前生成式AI及其他的AI應用是用2.5D先進封裝,將GPU晶片與HBM3或HBM3E封裝在一起,以提高頻寬、提高速度。再下個世代的HBM4或許可能採用銅混合鍵合(copper hybrid bonding)的3D先進封裝或者用矽光子以連接GPU與HBM,進一步提高速度和頻寬,這些也都是異質整合和先進封裝的發展方向。  這個技術方向自然是用來支援生成式AI晶片的發展,如果生成式AI的發展方向是正確的話,異質整合的方向也是正確的。異質整合還有自己的重要性,以前ITRS(International Technology Roadmap for Semiconductors)用來標示技術進展的計量是製程微縮的節點,2017年後產業界就用Heterogeneous Integration Roadmap,顯示每年可以被異質整合進封裝的元件種類/形態/功能。這意味著異質整合本身也成為半導體增加經濟價值的驅動力之一。  這個項目中的異質整合技術—特別是與生成式AI高度相關的矽光子與銅混合鍵合—台灣的半導體產業早已提前投入並取得相當成果。  異質整合的晶片設計比以前單一晶片的設計要複雜許多。譬如銅混合鍵合的晶片設計由於上下兩片晶片—譬如GPU與DRAM—尺寸要一樣,而且金屬接點要互相對應,2個晶片的協同設計是基本要求。此時的設計工作會牽涉整個系統而非單一晶片,新的設計輔助工具也是需要的。台灣半導體產業在這方面也提早準備了,譬如聯電與Cadence於2022年初發布完成聯合開發設計工具的消息。  至於人力資源與投資環境的問題,這在台灣已是沉疴,在此只加注一點。  從方案中的人力資源方案來看,顯然政策上已經清楚認知台灣人口基數的長期下降才是目前人力資源不足的主因。這一點是顯著的進步。清楚問題的根源才有機會提出正確的解決方案。  對這個方案有3個基本問題,第一個問題是方案的提出時間。2023年並不是常規的10年科技政策出台的年度,而且此屆內閣即將任滿。於即將任滿的時間制訂一個長達10年的政策,在行政倫理上合適嗎?  第二個問題是經費。10年新台幣3,000億元的經費是個不算小的金額,如果以每年的平均經費來計算,此方案在年度科技總預算的佔比高達30%。這樣的專案預算編列只有2種結果:一是排擠其他常規項目的空間、一是需要增加新預算。如果是增加新預算,長期計劃就要另覓長期的新財源。這些在方案中以及相關的訊息揭露都沒有看到。問題是預算來源到底是那一種?削減其他專案預算?還是要開譬新源,而源頭在哪?  最後一個是關於電力的問題。目前很多的生成式AI晶片設計在效能與節電—散熱之間的選擇,都大幅的傾向追求效能,而將散熱的問題留給製程與封裝去解決,是以連晶片水冷這樣複雜的方案都也列入考慮了。生成式AI很耗電嗎?當初ChatGPT剛問世時,各大雲端伺服器都遭遇耗電驟升的窘況,而那只是淺嘗即止的試用期。  如果這方案真的很成功,在生成式AI晶片的製造應用都順利推展到各領域,算過電力供應要怎麼成長才能支應嗎?這些電力哪裡來? (作者為DIGITIMES顧問)
2024/1/22
晶創台灣方案評論 (一)
政府在2023年11月13日公布「晶創台灣方案」。這是一個跨度長達10年的科技政策,預計經費高達新台幣(以下同)3,000億元。  與之前比較缺乏宏觀、整合的科技產業政策相較,這次推出的政策焦點比較集中,投入的時間與力道都很充足。單以經費為例,此方案平均每年經費為300億。300億是什麼樣的概念呢?這是政府每年科技總預算的約30%左右。以這樣的力度執行單一個聚焦的目標,這在近年來的政府科技政策中,近乎異端。  這個方案中只挑揀2個相關的技術目標、2種產業發展環境改善計畫:一、結合生成式人工智慧(generative AI)+晶片,帶動全產業創新;二、強化國內培育環境吸納全球研發人才;三、加速產業創新所需異質整合及先進技術;四、利用矽島實力吸引國際新創與投資來台。 第一個目標是生成式AI晶片及其於各產業、生活、工作環節的應用,這個是整個方案的重心。AI晶片興起的勢頭毋庸置疑,NVIDIA以及其他公司各式加速器是2023年半導體情勢欠佳下的救贖之一;與之搭配的高頻寬記憶體 (HBM)同樣在2023年一片愁雲慘霧的記憶體市場中一支獨秀。 但是,此恰恰說明計畫的前瞻性稍嫌不足。如果這是已經明確的現代進行式趨勢,政府的長期計畫要搶先早個3、5年;如果這真是一個長期趨勢,起步雖晚,亡羊補牢尚有可為。目前最頂尖的應用—在伺服器上執行生成式AI—硬體卡位已近完成,生成式AI晶片由NVIDIA獨佔鰲頭,另外還有如超微(AMD)等大廠;應用相關的主流記憶體HBM3E也由SK海力士(SK Hynix)佔半數、三星電子(Samsung Electronics)居次。  目前這類晶片開發所需的資源、HBM的取得、先進封裝所需的產能、與系統廠商的結盟等能力,連有些大的IC設計公司都難以企及。這是一個門檻極高的領域,新創可以存活的生態區相當狹窄。  晶創台灣方案「主要運用我國半導體晶片製造與封測領先全球的優勢,結合生成式AI等關鍵技術發展創新應用,提早布局台灣未來科技產業,並推動全產業加速創新突破。」如果策略是依靠台灣的製造晶片能力來發展應用,用雲端伺服器來提供GenAI算力的這領域不太靠譜。晶片製造與封測只是其中一個中間環節,離應用端太遠了。生成式AI是每個領域都會自發性投入的新技能,應用後有機會提升自己在產業中的競爭力。但是發展出應用後要將此應用轉換成新的產品機會不太大,畢竟使用雲端生成式AI算力的應用,還未能有足夠數量跨入獲利門檻,以此營利的機會不大。在既存大公司專注之外的生成式AI市場當然也存在,譬如ASIC或IP—特殊應用或客製化的應用,這是許多新進者比較容易入手並且持續存活的生態區;大公司食之無味,小公司已夠溫飽。 進入這個領域就比較有機會觸及應用端的發展及商業化。 像生成式AI這樣的應用,即使是較小型的模型—譬如在手機上可以使用的LLaMA-7B大型語言模型,所使用的參數數目也高達70億以上。  在市場強烈的競爭下,很難想像即使是特殊用途、客製化的生成式AI,雖然參數較少,卻可以使用不是尖端製程的邏輯晶片和HBM。而且,特殊用途和客製化的GenAI一般和系統的連結性極強,也毋怪有些較大型的IC設計公司自己定義為系統公司。其實很多系統公司也將業務延伸至IC設計公司,以確保公司的核心能力掌握在自己的手中。之前已有很多先例,特別是在手機和電動/自駕車的產業。生成式AI是一個需要極大資源投入、高度整合上下游的新興領域,即使是其中的特殊應用、客製化的次領域。新創IC設計公司在這個領域發展機會不大。 即使要既存的、有規模的系統公司或IC設計公司投入此快速發展領域,政策的意向還要輔以配合的作為,譬如建立上下游協作平台、是否協助建立國際統一標準等手段。在目前已揭露的政策宣告,看不到這些必須的關鍵、詳細作為。  對於跨度長達10年的長期計畫,這是嚴重缺漏。
2024/1/17
半導體材料開發新典範(二)
從AlphaGo問世迄今的近10年間,機器學習中的各式神經網路(neural networks)開始逐漸被應用到各種工商業的場景。與材料開發相關的應用之一就是用以優化材料製作過程,這個應用已經進入產業實作有一段時間了。  用機器學習中各種神經網路執行材料製程參數的優化,本是件很辛苦的事。人工智慧素有高維度的詛咒(curse of high dimensionality),亦即要優化的問題中參數數目的增加,其所需的算力必須以指數的形式增加。但是相較於以實驗來進行製程參數最佳化,機器學習仍然享有絕對的優勢。另外,即使不能達到全域最佳化(global optimization),使用可以大幅節省計算資源的局部最佳化(local optimization)也許就足以滿足應用的需求。  最近發表於《自然》期刊的文章〈Scaling deep learning in materials discovery〉,揭示運用機器學習於發現材料方法的量子大躍進。  過去使用計算所建立的非有機晶體資料庫如Materials Project、Open Quantum Materials Database、AFLOWLIB、和NOMAD利用前述的第一原理計算和簡單的原子替代方法,找到4.8萬個穩定的晶體結構,新的方法則將此數目再推進一個數量級!  它使用的方法叫GNoME(Graph Network for Materials Exploration)。首先,它建立系統性的方法來產生新結構:考慮晶格結構對稱性,以及隨機產生的結構。  然後將圖像神經網路(Graphic Neural Network;GNN)用上述的那些資料庫中的資料來訓練,改善結構的模型,用以過濾上述產生的新結構。這些挑選過的新結構再以第一原理來計算其能量,判別此結構是否穩定。  經過上述的反覆訓練、篩選、計算、再篩選的程序,GNoME總共找到42.1萬個結構,比之前單純只用第一原理計算及簡單原子替代方法建立的資料總比數4.8萬足足高了一位數量級。而且資料量愈大,能量預測愈準確—以指數成長的方式進步。  這個方法還有新結構的預測能力。用以訓練GNoME的資料最多只有4種原子所組成的結構,但是在沒有任何訓練資料的情況下,它也可以預測出5、6個單一原子組成的結構,而且與實驗結果對照是符合的。  這些與半導體材料的發展有什麼關係?以目前1奈米電晶體的主要候選CMOS架構為例,目前計劃以MoS2的二維材料來做通道(channel)材料,這是在工程均衡的考量下從過去已知的1,000多種二維材料中挑選出來的。但是經過GNoME的搜尋後,存在穩定結構的二維材料現在有5.2萬種,也提高一個數量級。負責前沿電晶體結構的研發工程師要不要重新再檢視一下這個新增的資料庫、看一看是否有新的材料可以建構性能更好的電晶體?  結合第一原理計算與圖像神經網路兩種工具,以計算方式尋找新材料是至今為止最先進的、最有效的的材料發現方式。於半導體的應用中,其實材料的形成方式也都使用半導體設備。以前面所述的二維材料為例,原子層沉積(Atomic Layer Deposition;ALD)是常用設備。也就是說,半導體製程就是材料製程,研發與量產一體化是很自然的措施。將半導體相關材料研發納入半導體廠的核心能力,有助於半導體廠整合更多價值增長環節進入晶圓廠,有利於維持長期持續成長的動力。
2024/1/3
半導體材料開發新典範(一)
現代的半導體產業中,有3個經濟價值成長方式:製程繼續微縮、先進封裝與新材料開發的應用。製程微縮的研發的參與者數目寥若晨星,先進封裝的參與者稍多,而新材料的開發參與者貫穿整從上游至下游的個半導體價值鍊,成為整個產業的新焦點。  傳統的新材料開發方式,以合成(synthesis)為主,這是老牌材料強國日、德所擅長的。合成法以各式成分原子來組織穩定的化學結構,然後測試其化合物的各種性質—譬如導電性,以及在外來刺激下材料的反應—譬如順磁性(paramagnetism),或抗磁性(diamagnetism)。  合成過程當然非常依賴於知識和經驗,用以縮小搜尋適合特定應用目的的化合物範圍。但是能否發現適合應用目的的化合物也存有很高的機率性,因為搜索的範圍有限,對候選化合物的性質也僅憑臆測,基本上這就是一個試誤的過程。另外,合成的製程比較像手工藝,過程中的眾多的製程參數及方法過去很多是靠經驗或多批次試驗的結果,有點像匠人工藝,是以過去的材料先進國家能夠維持其材料開發及製造的優勢。  進入21世紀之後,由於計算力的快速提升,傳統的化合物—特別是晶體(crystal)化合物—的開發、性質預測、穩定結構的發現以及製作工藝的優化方法,都產生極大變化,連帶地將改變材料產業的競態勢。  2個領域的進展引發這個典範的轉換:第一原理計算(first principles calculation)和機器學習,不久以後也許還有量子計算,這些都是高度依賴算力的操作。其直接影響將是晶體化合物的各類性質可以精準預測、晶體化合物的製程可以最大程度的優化,以及可能的穩定晶體結構可以徹底搜尋用以建材料資料庫等。這些隨之產生的新能力對於需要新材料來滿足應用需求的使用者當然是夢寐以求的。  第一原理計算,或稱之為ab initio calculation,是指從最基礎的物理理論出發,計算晶體化合物的各種性質,這裡指涉的基礎物理理論一般是指薛汀格方程式(Schrodinger’s equation),但是在電子高速運動時,可能要訴諸更基本的量子電動力學(Quantum Electrodynamics;QED)—相對論版的薛汀格方程式。  雖然從最基礎的物理理論出發,但是現實的世界極為複雜,即使是一個單一原子系統,除了最簡單的氫原子之外,不存在解析解(analytic solution),遑論一般的化合物會牽涉到2個以上的原子核以及數十至於數百個以上的電子,所以某種形式的簡化處理是必要的,而數值計算(numerical calculation)也是不可或缺的。過去這些所遭遇困難的量子力學問題,也是當初驅策Richard Feynman倡議量子電腦的原因之一。  雖然第一原理計算其實早就開始於上世紀70年代,但是一直要到90年代後其準確度才逐漸被學術界-包括物理、化學、材料等領域-所接受。受限於當時的電腦計算能力,還無法直接投入產業真實的應用。  第一原理計算可以用來預測一種特定晶體化合物的各種物理、化學性質,從帶隙(bandgap)、導電性、極化(polarization)、磁性(magnetism)、光學性質等,幾乎無所不包,其中很多性質是半導體產業關注的核心。連現在半導體在奈米尺度製程中最關心的介面性質、缺陷、摻雜等精細結構的敘述,第一原理計算現在都可以給出相當準確的預測。  大概不到10年前,我跟某一晶圓製造公司建議設立一個第一原理計算團隊,理由是可以節省大量工程試驗批(engineering pilot lots)的經費和時間。當時他們的回應只是笑笑,半導體廠要做數值計算物理的人做什麼?現在他們已經有一支不小的第一原理計算團隊了。 Scaling deep learning for materials discovery .
2023/12/27