光學微影檢測技術發展與市場趨勢 智慧應用 影音
工研院
Event

光學微影檢測技術發展與市場趨勢

  • DIGITIMES企劃

EUVL極紫外光微影技術,藉由從產官學聯盟合作逐步邁向商業化階段。Source:SEMATECH/NSRCC
EUVL極紫外光微影技術,藉由從產官學聯盟合作逐步邁向商業化階段。Source:SEMATECH/NSRCC

光學微影與檢測技術是利用特定波長的可見或不可見光,針對標的物進行曝光、顯影(exposure&Lithography)與檢測(Inspection)的技術。從生醫製藥、半導體極紫外光微影等奈微米製造技術,鋰電池、鋼鐵材料、觸媒與高分子結構分析、X光顯微鏡分析、精密磁鐵&電源供應器設計,以及普及於PCB產業的自動光學檢測(Automatic Optical Inspection;AOI)設備的應用,藉由光學顯影可以製造出細膩如微生物的超高密集電路,追尋摩爾定律的軌跡並提升IC的密集度與運算效能…

光學微影技術驅動摩爾定律

ASML目前最新的EUVL步進曝光機台,即將升級到7奈米。Source:ASML

ASML目前最新的EUVL步進曝光機台,即將升級到7奈米。Source:ASML

半導體拜光微影技術的進步而持續發展,16nm即將跨入EUVL顯影技術。Source:Sematech/Cymer

半導體拜光微影技術的進步而持續發展,16nm即將跨入EUVL顯影技術。Source:Sematech/Cymer

推動半導體製程技術以每18個月電晶體數量成長一倍的摩爾定律,其創新關鍵在於光學微影技術的進步。半導體所使用的光學顯影機台(步進光刻機),掌握其關鍵製造技術的廠商有荷商ASML(艾司摩爾)、Ultratech、尼康(Nikon)、佳能(Canon)、休斯微系統(SUSS MicroTec)、ABM Inc.等。

過去使用汞燈產生436nm波段的g-line紫外光,365nm波段的i-line紫外光,來製作微米及次微米線路,搭配著極為複雜的晶圓步進曝光系統與複雜的光學鏡頭技術,以及特殊的光罩(Mask/Rectile)、光阻(photo resist)的搭配,來對晶圓進行線路曝光、顯影以及蝕刻的程序。

近年來更進展到用氟化氪(KrF)氣體產生248nm的雷射光源,以及以氟化氬(ArF)193nm波長的雷射光源來製作奈米等級(<90nm)的微米線路。接著藉由浸潤式(溼式製程)機台的設計,曝光與顯影的線距進而微縮到45、32nm。

接下來進展到22nm製程時,除了導入雙重?多重顯影(Double/Multi Patterning Technology)技術,將線路間距微縮至22nm,由於在這階段晶圓步進曝光鏡頭設計越來越複雜及笨重,最新的ArF晶圓步進曝光機報價動輒超過5,000萬美元,連帶光罩設計也越來越複雜,未來能再微縮的餘裕空間以瀕臨極限。例如以目前ArF 193nm Immersion浸潤式機台進行22nm線寬製造,需要8道曝光與9?11道重疊區對位(OVL)步驟;若延展到10nm則需要23道曝光步驟與36?40道重疊區對位(OVL)步驟,若硬要以7nm加浸潤式製程,則需要34道曝光步驟與59?65道重疊區對位(OVL)步驟。

半導體廠與機台設備業者,除了導入電槳(Plasma;或電子束E-Beam)、多重曝光顯影(Multiple Patterning Lithography)去微修16/14nm製程的線距之外,正企圖以10多年前就進行研發的極紫外光顯影技術(Extreme UltraViolet Lithography;EUVL)導入量產。

EUV超紫外光由高功率二氧化碳雷射所產生,其波長僅13.5nm,是過去氟化氬(ArF)雷射光波長的14分之一,若以193nm的ArF搭配目前Immersion+DPT技術都能做到22nm,理論上EUV能曝光、蝕刻的線寬極限為1?2nm。

EUVL技術的另一項優勢,在於可以減少反覆的光罩曝光與去除重疊區的步驟。例如前面的7nm半導體製程中,若導入EUVL微影技術,則僅需要9道曝光步驟與12道重疊區對位(OVL)步驟,即使到未來的3nm,在搭配高光圈(High NA)雷射光源下,也僅需12道曝光步驟與18?22道重疊區對位步驟。將有助於半導體順利跨入下一個次奈米世代(<10nm, 7nm),延續半導體摩爾定律的傳奇。

EUV微影技術需克服反射式光罩與線路良率問題

過去KrF、ArF光採用穿透式石英玻璃搭配光阻的曝光顯影方式,但EUV會被任何材料所攜吸收,包含空氣、石英玻璃與光罩保護膜等,所以晶圓必須置於真空的曝光盒(EUV Pod),以反射式光罩的形式,EUVL曝光系統利用反射鏡片及聚光多層膜反射鏡將光罩上的圖案反射、聚焦到曝光盒,由於要求絕對真空,這對量產機台的潔淨度控制都是極大挑戰。

目前EUV從光罩到晶圓曝光時,都會因EUV過短波長的繞射現象,造成造成光罩、晶圓邊緣過曝的瑕疵,造成初期晶圓需頻繁檢測、重工需正,連帶造成良率不佳的問題。

1996年桑迪亞國家實驗室(Sandia National Laboratories)、加州柏克萊大學與朗訊科技攜手,首度嘗試以EUV進行180?75nm節點電路的曝光;2008年此產業聯盟再加入IBM、超微(AMD)於紐約州Albany的奈米科學工程學院(College of Nanoscale Science and Engineering;CNSE)進行EUV設備機台的初步研發,並首度以90nm線寬製程進行實驗。

台灣國家同步輻射研究中心(NSRRC)在2008?2014兩期國家奈米計畫的經費挹注下,也在新竹清華大學建造EUVL研究實驗站,並設計建造EUV反射儀、光阻分析系統、頻譜系統與同步EUV雷射光源,並接受ASML、日產化工、TSMC等半導體業界的委託計畫,進行EUVL相關曝光、顯影、晶圓檢測等技術的研發工作。

製程設備業者也透過產業聯盟的集體研發,以及上下游像是高效能光阻劑、真空EUV Pod曝光盒供應鍊的購併,甚至是開放半導體廠的入股集資,來加速EUVL超紫外光微影技術進入量產化製程。

執高階浸潤式機台牛耳的設備龍頭大廠荷商艾司摩爾(ASML Holding),於2012年邀集英特爾(Intel)以41億美元、15%股權進行EUVL超紫外光微影量產技術開發與機台研究,隨後台積電、三星也跟進砸下14億、9.75億美元投資ASML並取得一定的股權,以確保未來10?7奈米EUV製程大賽中的入場門票與席次。

隨即ASML於2013年,再度購併Cymer以取得DUV(深紫外光)與EUV雷射光源與真空曝光艙技術。國際半導體製造商聯盟(ISMI)於2014年6月宣布,已成功製造出四十層鉬化矽(Si/Mo)的零瑕疵EUV空白光罩,適用於製造50nm線距的高量產機台(High Volumn Machine)。

台積電、英特爾、三星搶進7nm EUV製程

ASML於2014年12月接晶圓代工龍頭台積電2部具備7nm節點製程能力的NXE:3350B量產型EUVL曝光機訂單,也將協助先前購入的兩部22nm量產型製程的NXE:3300B步進曝光機,升級到NXE:3350B,預計2015年底出貨。而TSMC日前宣示其研發藍圖中,10奈米量產進度定在2017年,至於7奈米量產製程預計2020年前導入,屆時將會採用EUVL微影製程技術。

英特爾由於自家開發10nm製程與量產的不順遂,加上台積電搶進7nm EUV進展的刺激,也決定大手筆投入EUV的量產製程行列。2015年4月ASML宣布接獲北美大客戶超大訂單(據信就是英特爾),直接下訂15台NXE:3350B量產型EUVL曝光機,總金額超過15億歐元(約540億台幣)。

2015年7月IBM研究室宣布,與合作夥伴格羅方德(Global Foundries)位於紐約州Albany的奈米科學工程學院(College of Nanoscale Science and Engineering;CNSE)成功以矽鍺(SiGe)材料開發出7nm工程原型晶片,但他們宣稱正式量產時間應在2017年第3季以後。由於三星與格羅方德在14nm製程上有技術合作,加上在ASML設備商上面的股權投資,相信三星在7nm EUVL製程的推進與量產進度也不落其他晶圓代工廠人後。

目前EUVL極紫外光顯影技術,從研發進度已經瞄準到7nm與5nm製程,可因應未來物聯網(Internet of Thing, IoT)數百億聯網裝置時代下,高密度的非揮發性記憶體如3D立體堆疊NAND記憶體、ReRAM電阻記憶體、微處理器邏輯裝置的製造。

但當前仍須克服像是多層膜反射鏡吸收大量EUV光源,需要超高功率同步雷射甚至電槳雷射光源(200W),如何避免精密多層膜反射鏡片,及光罩高功率EUV電槳光源的損害及表面污染等課題為曝光系統設計的最重要課題。

而一套EUV超紫外光光罩的製造成本高達上億元台幣,如此龐大的製程耗材的資本支出,將對規模不大的IC晶片設計廠商(IC Design House)形成嚴峻的資本門檻。


商情專輯-2016 SEMICON