半導體產業關鍵下一步 先進製程與異質整合扮雙引擎 智慧應用 影音
DForum0522
ST Microsite

半導體產業關鍵下一步 先進製程與異質整合扮雙引擎

  • DIGITIMES企劃DIGITIMES企劃

5G帶動行動裝置與物聯網等多元應用,也成為半導體大廠先進製程的主戰場之一。沈勤譽攝
5G帶動行動裝置與物聯網等多元應用,也成為半導體大廠先進製程的主戰場之一。沈勤譽攝

全球經貿及產業環境變動快速,面對中美貿易戰、缺工缺料、短鏈供應鏈崛起等議題,台灣的半導體護國群山仍展現高度韌性,產業地位重要性有增無減。值此關鍵時刻,半導體上下游業者除了因應外部環境積極調整供應鏈管理思維之外,也積極發展新一代關鍵技術,其中先進製程與異質整合可望扮演重要引擎,引領科技產業繼續邁進。

先進製程持續推進 行動晶片成主戰場

台積電因應異質整合的趨勢,正積極發展SoIC、InFO、CoWoS等先進封裝技術。沈勤譽攝

台積電因應異質整合的趨勢,正積極發展SoIC、InFO、CoWoS等先進封裝技術。沈勤譽攝

雖然半導體業界不再一味追求摩爾定律的突破,但包括台積電、三星(Samsung)、英特爾(Intel)等大廠,仍豪砸大量研發預算投入先進製程的推進,希望在7奈米以下的製程技術有更多突破與精進。現階段最主要的需求來自於智慧型手機、行動裝置、物聯網等應用,尤其行動裝置正逐步整合5G、人工智慧(AI)、元宇宙等功能,必須進行大量的數據、圖像及資料處理能力,同時持續走向輕巧設計,唯有仰賴先進製程的晶片,才能在追求體積的微縮下兼具強大的運算效能。

為了解決晶片持續微縮、閘極因不易控制容易造成漏電的短通道效應(Short Channel Effect),造成過多能源消耗而影響效能,各大半導體廠均紛紛針對先進製程設計新的架構。進入16奈米以下的製程後,半導體業界已從平面電晶體(Planar FET)的架構,轉向垂直立體型態的鰭式電晶體(FinFET)架構,藉以在日益微縮的晶片中,有效增加與閘極的接觸面積,而在10奈米以下的製程,FinFET更是其中非常關鍵的技術。

過去幾年來,在先進製程的競爭局面中,台積電正是因為具備完整的FinFET製程技術與專利,因此能掌握相對優勢,在7奈米、5奈米系列拔得頭籌,且產能與良率均持續領先。根據台積電在8月底舉行的2022台灣技術論壇公布的最新數字,台積電量產5奈米製程已進入第三年,累計生產達200萬片,領先其他同業,3奈米製程也即將在下半年正式量產,除了蘋果(Apple)與英特爾(Intel)可望率先採用台積電的3奈米製程外,包括高通(Qualcomm)、超微(AMD)、輝達(Nvidia)、聯發科也將跟進投片。

以台積電的先進製程藍圖來看,預計在2023年下半量產升級版3奈米(N3E)製程,2奈米製程則規劃於2025年量產,其中2奈米相較於N3E,在相同功率下速度可提升10~15%,在相同速度下功耗可降低25~30%。

不過,三星在先進製程也來勢洶洶,在3奈米製程放手一搏,改採閘極環繞式電晶體(GAAFET)架構,其電晶體透過360度包覆的環狀結構,可有效增加對電路的控制與穩定性,減少短通道效應。以主戰場行動通訊晶片觀察,三星本身在智慧型手機市場稱霸多時,現階段三星不管是自製或外購的中高階行動晶片,多採取三星的5奈米以下製程,讓三星在行動晶片的先進製程大有斬獲,但後續3奈米製程的效能表現,是否能吸引外部客戶下單,將是其能否靠GAAFET架構彎道超車台積電的重要觀察指標。

實現尺寸微縮、多重功能 異質整合成新路徑

除了先進製程的推進外,異質整合技術儼然是推動半導體業界持續創新的另一個主要動能。由於晶片尺寸的縮減已接近極限,且必須進一步提高密度來提升性能,光是製程技術的演進不足以滿足晶片「體積縮小、性能提升」的無止境需求,因此業界開始將腦筋轉往構裝技術的發展。

相較於傳統封裝是將單一功能的個別晶粒進行封裝,所謂的異質整合,則是在3D維度的空間中進行系統級封裝(System in a Package;SiP),且不僅是將多個晶片整合在一起,更可包含非晶片的主、被動元件,例如可將處理器、記憶體、邏輯元件、類比元件、被動元件、射頻(RF)晶片、連接器、微機電系統(MEMS)都整合起來,成為一個多功能、高整合度的單一封裝體。

事實上,從1991年以來,遵循摩爾定律節奏的國際半導體技術藍圖 (International Technology Roadmap for Semiconductors;ITRS) 一直是半導體產業技術往前邁進的指南針,但在2016年7月ITRS已轉型成異質整合藍圖(Heterogeneous Integration Roadmap;HIR),由此可見,異質整合將取代製程微縮,做為實現元件尺寸微縮與功能整合的新路徑。

整體來說,異質整合的主要目標是系統微縮,希望能做到訊號路徑更小、頻寬更大、耗電更低、尺寸更薄與更小,但必須同時考量性能、功耗與面積的最佳化。現階段有兩種主要作法,其一是將互聯線寬做得更細,其二是透過3D堆疊來提高電晶體等元件密度,在一個封裝結構中,就能將更多功能的晶片與元件整合進去。

以台積電為例,正針對異質整合的趨勢積極發展系統級整合晶片(System on Integrated Chips;SoIC)、整合型扇出(Integrated Fan-Out;InFO)、矽基底系統級封裝CoWoS(Chip on Wafer on Substrate;CoWoS)等技術,其中InFO封裝技術具備體積小、低功耗、散熱佳等優勢,特別適合智慧型手機、物聯網等行動應用,至於CoWoS則可整合記憶體與邏輯晶片,並改善傳輸頻寬,是雲端資料中心、AI等高效能運算應用的絕佳解決方案。

根據台積電的先進封裝藍圖,下半年將開始生產SoIC晶片堆疊製造,2023年3D Fabric全自動化工廠正式運作,未來幾年將逐步擴充先進封裝產能,預計2026年SoIC產能將擴大到20倍以上。

摩爾定律是否已死仍是未定之論,但可以確定的是,半導體業界已從過往的單一路徑,轉變成多重路徑並進的態勢,包括先進製程、異質整合、新材料、封裝結構等方面都已找到可行的突破點。放眼未來,儘管技術創新的挑戰不小,但只要整個生態系能夠掌握趨勢、攜手合作,必能持續開創出半導體產業的新價值。


關鍵字
商情專輯-2022 SEMICON Taiwan